2024-02-01 02:57:11 +00:00
|
|
|
module gpu (
|
2024-04-07 02:36:24 +00:00
|
|
|
input wire [7:0] vram[0:1023]
|
2024-02-01 03:04:52 +00:00
|
|
|
);
|
2024-02-01 02:57:11 +00:00
|
|
|
|
2024-02-01 03:04:52 +00:00
|
|
|
import "DPI-C" function void init_screen();
|
2024-04-07 02:36:24 +00:00
|
|
|
import "DPI-C" function void draw_screen(logic [7:0] vram[0:1023]);
|
2024-02-01 02:57:11 +00:00
|
|
|
|
2024-02-01 03:04:52 +00:00
|
|
|
initial begin
|
|
|
|
init_screen();
|
2024-04-07 02:36:24 +00:00
|
|
|
|
2024-02-01 03:04:52 +00:00
|
|
|
end
|
2024-02-01 02:57:11 +00:00
|
|
|
|
2024-04-07 02:36:24 +00:00
|
|
|
|
2024-02-01 03:04:52 +00:00
|
|
|
always_comb begin
|
2024-04-07 02:36:24 +00:00
|
|
|
draw_screen(vram);
|
2024-02-01 03:04:52 +00:00
|
|
|
end
|
2024-02-01 02:57:11 +00:00
|
|
|
endmodule
|