yayacemu/beeper.sv

11 lines
186 B
Systemverilog
Raw Normal View History

2024-02-01 02:57:11 +00:00
module beeper (
input wire [7:0] sound_timer
);
import "DPI-C" function void set_beep(bit beep);
always_comb begin
set_beep(sound_timer > 0);
end
endmodule