it's an adder now
This commit is contained in:
parent
ba46ab8dc4
commit
8616605746
376 changed files with 1693682 additions and 476560 deletions
File diff suppressed because it is too large
Load diff
Binary file not shown.
3535
hello_world_dma.cache/ip/2022.1/6/6/66fb41182b1f4246/top_xbar_0_sim_netlist.v
Executable file
3535
hello_world_dma.cache/ip/2022.1/6/6/66fb41182b1f4246/top_xbar_0_sim_netlist.v
Executable file
File diff suppressed because it is too large
Load diff
3937
hello_world_dma.cache/ip/2022.1/6/6/66fb41182b1f4246/top_xbar_0_sim_netlist.vhdl
Executable file
3937
hello_world_dma.cache/ip/2022.1/6/6/66fb41182b1f4246/top_xbar_0_sim_netlist.vhdl
Executable file
File diff suppressed because it is too large
Load diff
65
hello_world_dma.cache/ip/2022.1/6/6/66fb41182b1f4246/top_xbar_0_stub.v
Executable file
65
hello_world_dma.cache/ip/2022.1/6/6/66fb41182b1f4246/top_xbar_0_stub.v
Executable file
|
@ -0,0 +1,65 @@
|
|||
// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
|
||||
// --------------------------------------------------------------------------------
|
||||
// Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
|
||||
// Date : Sat Jun 28 00:05:14 2025
|
||||
// Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
|
||||
// Command : write_verilog -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
|
||||
// decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_xbar_0_stub.v
|
||||
// Design : top_xbar_0
|
||||
// Purpose : Stub declaration of top-level module interface
|
||||
// Device : xc7a100tlfgg484-2L
|
||||
// --------------------------------------------------------------------------------
|
||||
|
||||
// This empty module with port declaration file causes synthesis tools to infer a black box for IP.
|
||||
// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion.
|
||||
// Please paste the declaration into a Verilog source file or add the file as an additional source.
|
||||
(* X_CORE_INFO = "axi_crossbar_v2_1_27_axi_crossbar,Vivado 2022.1" *)
|
||||
module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix(aclk, aresetn, s_axi_awaddr, s_axi_awprot,
|
||||
s_axi_awvalid, s_axi_awready, s_axi_wdata, s_axi_wstrb, s_axi_wvalid, s_axi_wready,
|
||||
s_axi_bresp, s_axi_bvalid, s_axi_bready, s_axi_araddr, s_axi_arprot, s_axi_arvalid,
|
||||
s_axi_arready, s_axi_rdata, s_axi_rresp, s_axi_rvalid, s_axi_rready, m_axi_awaddr,
|
||||
m_axi_awprot, m_axi_awvalid, m_axi_awready, m_axi_wdata, m_axi_wstrb, m_axi_wvalid,
|
||||
m_axi_wready, m_axi_bresp, m_axi_bvalid, m_axi_bready, m_axi_araddr, m_axi_arprot,
|
||||
m_axi_arvalid, m_axi_arready, m_axi_rdata, m_axi_rresp, m_axi_rvalid, m_axi_rready)
|
||||
/* synthesis syn_black_box black_box_pad_pin="aclk,aresetn,s_axi_awaddr[31:0],s_axi_awprot[2:0],s_axi_awvalid[0:0],s_axi_awready[0:0],s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wvalid[0:0],s_axi_wready[0:0],s_axi_bresp[1:0],s_axi_bvalid[0:0],s_axi_bready[0:0],s_axi_araddr[31:0],s_axi_arprot[2:0],s_axi_arvalid[0:0],s_axi_arready[0:0],s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rvalid[0:0],s_axi_rready[0:0],m_axi_awaddr[63:0],m_axi_awprot[5:0],m_axi_awvalid[1:0],m_axi_awready[1:0],m_axi_wdata[63:0],m_axi_wstrb[7:0],m_axi_wvalid[1:0],m_axi_wready[1:0],m_axi_bresp[3:0],m_axi_bvalid[1:0],m_axi_bready[1:0],m_axi_araddr[63:0],m_axi_arprot[5:0],m_axi_arvalid[1:0],m_axi_arready[1:0],m_axi_rdata[63:0],m_axi_rresp[3:0],m_axi_rvalid[1:0],m_axi_rready[1:0]" */;
|
||||
input aclk;
|
||||
input aresetn;
|
||||
input [31:0]s_axi_awaddr;
|
||||
input [2:0]s_axi_awprot;
|
||||
input [0:0]s_axi_awvalid;
|
||||
output [0:0]s_axi_awready;
|
||||
input [31:0]s_axi_wdata;
|
||||
input [3:0]s_axi_wstrb;
|
||||
input [0:0]s_axi_wvalid;
|
||||
output [0:0]s_axi_wready;
|
||||
output [1:0]s_axi_bresp;
|
||||
output [0:0]s_axi_bvalid;
|
||||
input [0:0]s_axi_bready;
|
||||
input [31:0]s_axi_araddr;
|
||||
input [2:0]s_axi_arprot;
|
||||
input [0:0]s_axi_arvalid;
|
||||
output [0:0]s_axi_arready;
|
||||
output [31:0]s_axi_rdata;
|
||||
output [1:0]s_axi_rresp;
|
||||
output [0:0]s_axi_rvalid;
|
||||
input [0:0]s_axi_rready;
|
||||
output [63:0]m_axi_awaddr;
|
||||
output [5:0]m_axi_awprot;
|
||||
output [1:0]m_axi_awvalid;
|
||||
input [1:0]m_axi_awready;
|
||||
output [63:0]m_axi_wdata;
|
||||
output [7:0]m_axi_wstrb;
|
||||
output [1:0]m_axi_wvalid;
|
||||
input [1:0]m_axi_wready;
|
||||
input [3:0]m_axi_bresp;
|
||||
input [1:0]m_axi_bvalid;
|
||||
output [1:0]m_axi_bready;
|
||||
output [63:0]m_axi_araddr;
|
||||
output [5:0]m_axi_arprot;
|
||||
output [1:0]m_axi_arvalid;
|
||||
input [1:0]m_axi_arready;
|
||||
input [63:0]m_axi_rdata;
|
||||
input [3:0]m_axi_rresp;
|
||||
input [1:0]m_axi_rvalid;
|
||||
output [1:0]m_axi_rready;
|
||||
endmodule
|
69
hello_world_dma.cache/ip/2022.1/6/6/66fb41182b1f4246/top_xbar_0_stub.vhdl
Executable file
69
hello_world_dma.cache/ip/2022.1/6/6/66fb41182b1f4246/top_xbar_0_stub.vhdl
Executable file
|
@ -0,0 +1,69 @@
|
|||
-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
|
||||
-- --------------------------------------------------------------------------------
|
||||
-- Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
|
||||
-- Date : Sat Jun 28 00:05:14 2025
|
||||
-- Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
|
||||
-- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
|
||||
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_xbar_0_stub.vhdl
|
||||
-- Design : top_xbar_0
|
||||
-- Purpose : Stub declaration of top-level module interface
|
||||
-- Device : xc7a100tlfgg484-2L
|
||||
-- --------------------------------------------------------------------------------
|
||||
library IEEE;
|
||||
use IEEE.STD_LOGIC_1164.ALL;
|
||||
|
||||
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
|
||||
Port (
|
||||
aclk : in STD_LOGIC;
|
||||
aresetn : in STD_LOGIC;
|
||||
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
|
||||
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
|
||||
s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
|
||||
s_axi_awready : out STD_LOGIC_VECTOR ( 0 to 0 );
|
||||
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
|
||||
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
|
||||
s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
|
||||
s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 );
|
||||
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
|
||||
s_axi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
|
||||
s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 );
|
||||
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
|
||||
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
|
||||
s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
|
||||
s_axi_arready : out STD_LOGIC_VECTOR ( 0 to 0 );
|
||||
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
|
||||
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
|
||||
s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
|
||||
s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 );
|
||||
m_axi_awaddr : out STD_LOGIC_VECTOR ( 63 downto 0 );
|
||||
m_axi_awprot : out STD_LOGIC_VECTOR ( 5 downto 0 );
|
||||
m_axi_awvalid : out STD_LOGIC_VECTOR ( 1 downto 0 );
|
||||
m_axi_awready : in STD_LOGIC_VECTOR ( 1 downto 0 );
|
||||
m_axi_wdata : out STD_LOGIC_VECTOR ( 63 downto 0 );
|
||||
m_axi_wstrb : out STD_LOGIC_VECTOR ( 7 downto 0 );
|
||||
m_axi_wvalid : out STD_LOGIC_VECTOR ( 1 downto 0 );
|
||||
m_axi_wready : in STD_LOGIC_VECTOR ( 1 downto 0 );
|
||||
m_axi_bresp : in STD_LOGIC_VECTOR ( 3 downto 0 );
|
||||
m_axi_bvalid : in STD_LOGIC_VECTOR ( 1 downto 0 );
|
||||
m_axi_bready : out STD_LOGIC_VECTOR ( 1 downto 0 );
|
||||
m_axi_araddr : out STD_LOGIC_VECTOR ( 63 downto 0 );
|
||||
m_axi_arprot : out STD_LOGIC_VECTOR ( 5 downto 0 );
|
||||
m_axi_arvalid : out STD_LOGIC_VECTOR ( 1 downto 0 );
|
||||
m_axi_arready : in STD_LOGIC_VECTOR ( 1 downto 0 );
|
||||
m_axi_rdata : in STD_LOGIC_VECTOR ( 63 downto 0 );
|
||||
m_axi_rresp : in STD_LOGIC_VECTOR ( 3 downto 0 );
|
||||
m_axi_rvalid : in STD_LOGIC_VECTOR ( 1 downto 0 );
|
||||
m_axi_rready : out STD_LOGIC_VECTOR ( 1 downto 0 )
|
||||
);
|
||||
|
||||
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
|
||||
|
||||
architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
|
||||
attribute syn_black_box : boolean;
|
||||
attribute black_box_pad_pin : string;
|
||||
attribute syn_black_box of stub : architecture is true;
|
||||
attribute black_box_pad_pin of stub : architecture is "aclk,aresetn,s_axi_awaddr[31:0],s_axi_awprot[2:0],s_axi_awvalid[0:0],s_axi_awready[0:0],s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wvalid[0:0],s_axi_wready[0:0],s_axi_bresp[1:0],s_axi_bvalid[0:0],s_axi_bready[0:0],s_axi_araddr[31:0],s_axi_arprot[2:0],s_axi_arvalid[0:0],s_axi_arready[0:0],s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rvalid[0:0],s_axi_rready[0:0],m_axi_awaddr[63:0],m_axi_awprot[5:0],m_axi_awvalid[1:0],m_axi_awready[1:0],m_axi_wdata[63:0],m_axi_wstrb[7:0],m_axi_wvalid[1:0],m_axi_wready[1:0],m_axi_bresp[3:0],m_axi_bvalid[1:0],m_axi_bready[1:0],m_axi_araddr[63:0],m_axi_arprot[5:0],m_axi_arvalid[1:0],m_axi_arready[1:0],m_axi_rdata[63:0],m_axi_rresp[3:0],m_axi_rvalid[1:0],m_axi_rready[1:0]";
|
||||
attribute X_CORE_INFO : string;
|
||||
attribute X_CORE_INFO of stub : architecture is "axi_crossbar_v2_1_27_axi_crossbar,Vivado 2022.1";
|
||||
begin
|
||||
end;
|
File diff suppressed because it is too large
Load diff
Binary file not shown.
3126
hello_world_dma.cache/ip/2022.1/6/d/6db766e111ece2d4/top_xbar_0_sim_netlist.v
Executable file
3126
hello_world_dma.cache/ip/2022.1/6/d/6db766e111ece2d4/top_xbar_0_sim_netlist.v
Executable file
File diff suppressed because it is too large
Load diff
3512
hello_world_dma.cache/ip/2022.1/6/d/6db766e111ece2d4/top_xbar_0_sim_netlist.vhdl
Executable file
3512
hello_world_dma.cache/ip/2022.1/6/d/6db766e111ece2d4/top_xbar_0_sim_netlist.vhdl
Executable file
File diff suppressed because it is too large
Load diff
65
hello_world_dma.cache/ip/2022.1/6/d/6db766e111ece2d4/top_xbar_0_stub.v
Executable file
65
hello_world_dma.cache/ip/2022.1/6/d/6db766e111ece2d4/top_xbar_0_stub.v
Executable file
|
@ -0,0 +1,65 @@
|
|||
// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
|
||||
// --------------------------------------------------------------------------------
|
||||
// Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
|
||||
// Date : Fri Jun 27 22:32:29 2025
|
||||
// Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
|
||||
// Command : write_verilog -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
|
||||
// decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_xbar_0_stub.v
|
||||
// Design : top_xbar_0
|
||||
// Purpose : Stub declaration of top-level module interface
|
||||
// Device : xc7a100tlfgg484-2L
|
||||
// --------------------------------------------------------------------------------
|
||||
|
||||
// This empty module with port declaration file causes synthesis tools to infer a black box for IP.
|
||||
// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion.
|
||||
// Please paste the declaration into a Verilog source file or add the file as an additional source.
|
||||
(* X_CORE_INFO = "axi_crossbar_v2_1_27_axi_crossbar,Vivado 2022.1" *)
|
||||
module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix(aclk, aresetn, s_axi_awaddr, s_axi_awprot,
|
||||
s_axi_awvalid, s_axi_awready, s_axi_wdata, s_axi_wstrb, s_axi_wvalid, s_axi_wready,
|
||||
s_axi_bresp, s_axi_bvalid, s_axi_bready, s_axi_araddr, s_axi_arprot, s_axi_arvalid,
|
||||
s_axi_arready, s_axi_rdata, s_axi_rresp, s_axi_rvalid, s_axi_rready, m_axi_awaddr,
|
||||
m_axi_awprot, m_axi_awvalid, m_axi_awready, m_axi_wdata, m_axi_wstrb, m_axi_wvalid,
|
||||
m_axi_wready, m_axi_bresp, m_axi_bvalid, m_axi_bready, m_axi_araddr, m_axi_arprot,
|
||||
m_axi_arvalid, m_axi_arready, m_axi_rdata, m_axi_rresp, m_axi_rvalid, m_axi_rready)
|
||||
/* synthesis syn_black_box black_box_pad_pin="aclk,aresetn,s_axi_awaddr[31:0],s_axi_awprot[2:0],s_axi_awvalid[0:0],s_axi_awready[0:0],s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wvalid[0:0],s_axi_wready[0:0],s_axi_bresp[1:0],s_axi_bvalid[0:0],s_axi_bready[0:0],s_axi_araddr[31:0],s_axi_arprot[2:0],s_axi_arvalid[0:0],s_axi_arready[0:0],s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rvalid[0:0],s_axi_rready[0:0],m_axi_awaddr[63:0],m_axi_awprot[5:0],m_axi_awvalid[1:0],m_axi_awready[1:0],m_axi_wdata[63:0],m_axi_wstrb[7:0],m_axi_wvalid[1:0],m_axi_wready[1:0],m_axi_bresp[3:0],m_axi_bvalid[1:0],m_axi_bready[1:0],m_axi_araddr[63:0],m_axi_arprot[5:0],m_axi_arvalid[1:0],m_axi_arready[1:0],m_axi_rdata[63:0],m_axi_rresp[3:0],m_axi_rvalid[1:0],m_axi_rready[1:0]" */;
|
||||
input aclk;
|
||||
input aresetn;
|
||||
input [31:0]s_axi_awaddr;
|
||||
input [2:0]s_axi_awprot;
|
||||
input [0:0]s_axi_awvalid;
|
||||
output [0:0]s_axi_awready;
|
||||
input [31:0]s_axi_wdata;
|
||||
input [3:0]s_axi_wstrb;
|
||||
input [0:0]s_axi_wvalid;
|
||||
output [0:0]s_axi_wready;
|
||||
output [1:0]s_axi_bresp;
|
||||
output [0:0]s_axi_bvalid;
|
||||
input [0:0]s_axi_bready;
|
||||
input [31:0]s_axi_araddr;
|
||||
input [2:0]s_axi_arprot;
|
||||
input [0:0]s_axi_arvalid;
|
||||
output [0:0]s_axi_arready;
|
||||
output [31:0]s_axi_rdata;
|
||||
output [1:0]s_axi_rresp;
|
||||
output [0:0]s_axi_rvalid;
|
||||
input [0:0]s_axi_rready;
|
||||
output [63:0]m_axi_awaddr;
|
||||
output [5:0]m_axi_awprot;
|
||||
output [1:0]m_axi_awvalid;
|
||||
input [1:0]m_axi_awready;
|
||||
output [63:0]m_axi_wdata;
|
||||
output [7:0]m_axi_wstrb;
|
||||
output [1:0]m_axi_wvalid;
|
||||
input [1:0]m_axi_wready;
|
||||
input [3:0]m_axi_bresp;
|
||||
input [1:0]m_axi_bvalid;
|
||||
output [1:0]m_axi_bready;
|
||||
output [63:0]m_axi_araddr;
|
||||
output [5:0]m_axi_arprot;
|
||||
output [1:0]m_axi_arvalid;
|
||||
input [1:0]m_axi_arready;
|
||||
input [63:0]m_axi_rdata;
|
||||
input [3:0]m_axi_rresp;
|
||||
input [1:0]m_axi_rvalid;
|
||||
output [1:0]m_axi_rready;
|
||||
endmodule
|
69
hello_world_dma.cache/ip/2022.1/6/d/6db766e111ece2d4/top_xbar_0_stub.vhdl
Executable file
69
hello_world_dma.cache/ip/2022.1/6/d/6db766e111ece2d4/top_xbar_0_stub.vhdl
Executable file
|
@ -0,0 +1,69 @@
|
|||
-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
|
||||
-- --------------------------------------------------------------------------------
|
||||
-- Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
|
||||
-- Date : Fri Jun 27 22:32:29 2025
|
||||
-- Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
|
||||
-- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
|
||||
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_xbar_0_stub.vhdl
|
||||
-- Design : top_xbar_0
|
||||
-- Purpose : Stub declaration of top-level module interface
|
||||
-- Device : xc7a100tlfgg484-2L
|
||||
-- --------------------------------------------------------------------------------
|
||||
library IEEE;
|
||||
use IEEE.STD_LOGIC_1164.ALL;
|
||||
|
||||
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
|
||||
Port (
|
||||
aclk : in STD_LOGIC;
|
||||
aresetn : in STD_LOGIC;
|
||||
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
|
||||
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
|
||||
s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
|
||||
s_axi_awready : out STD_LOGIC_VECTOR ( 0 to 0 );
|
||||
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
|
||||
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
|
||||
s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
|
||||
s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 );
|
||||
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
|
||||
s_axi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
|
||||
s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 );
|
||||
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
|
||||
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
|
||||
s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
|
||||
s_axi_arready : out STD_LOGIC_VECTOR ( 0 to 0 );
|
||||
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
|
||||
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
|
||||
s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
|
||||
s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 );
|
||||
m_axi_awaddr : out STD_LOGIC_VECTOR ( 63 downto 0 );
|
||||
m_axi_awprot : out STD_LOGIC_VECTOR ( 5 downto 0 );
|
||||
m_axi_awvalid : out STD_LOGIC_VECTOR ( 1 downto 0 );
|
||||
m_axi_awready : in STD_LOGIC_VECTOR ( 1 downto 0 );
|
||||
m_axi_wdata : out STD_LOGIC_VECTOR ( 63 downto 0 );
|
||||
m_axi_wstrb : out STD_LOGIC_VECTOR ( 7 downto 0 );
|
||||
m_axi_wvalid : out STD_LOGIC_VECTOR ( 1 downto 0 );
|
||||
m_axi_wready : in STD_LOGIC_VECTOR ( 1 downto 0 );
|
||||
m_axi_bresp : in STD_LOGIC_VECTOR ( 3 downto 0 );
|
||||
m_axi_bvalid : in STD_LOGIC_VECTOR ( 1 downto 0 );
|
||||
m_axi_bready : out STD_LOGIC_VECTOR ( 1 downto 0 );
|
||||
m_axi_araddr : out STD_LOGIC_VECTOR ( 63 downto 0 );
|
||||
m_axi_arprot : out STD_LOGIC_VECTOR ( 5 downto 0 );
|
||||
m_axi_arvalid : out STD_LOGIC_VECTOR ( 1 downto 0 );
|
||||
m_axi_arready : in STD_LOGIC_VECTOR ( 1 downto 0 );
|
||||
m_axi_rdata : in STD_LOGIC_VECTOR ( 63 downto 0 );
|
||||
m_axi_rresp : in STD_LOGIC_VECTOR ( 3 downto 0 );
|
||||
m_axi_rvalid : in STD_LOGIC_VECTOR ( 1 downto 0 );
|
||||
m_axi_rready : out STD_LOGIC_VECTOR ( 1 downto 0 )
|
||||
);
|
||||
|
||||
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
|
||||
|
||||
architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
|
||||
attribute syn_black_box : boolean;
|
||||
attribute black_box_pad_pin : string;
|
||||
attribute syn_black_box of stub : architecture is true;
|
||||
attribute black_box_pad_pin of stub : architecture is "aclk,aresetn,s_axi_awaddr[31:0],s_axi_awprot[2:0],s_axi_awvalid[0:0],s_axi_awready[0:0],s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wvalid[0:0],s_axi_wready[0:0],s_axi_bresp[1:0],s_axi_bvalid[0:0],s_axi_bready[0:0],s_axi_araddr[31:0],s_axi_arprot[2:0],s_axi_arvalid[0:0],s_axi_arready[0:0],s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rvalid[0:0],s_axi_rready[0:0],m_axi_awaddr[63:0],m_axi_awprot[5:0],m_axi_awvalid[1:0],m_axi_awready[1:0],m_axi_wdata[63:0],m_axi_wstrb[7:0],m_axi_wvalid[1:0],m_axi_wready[1:0],m_axi_bresp[3:0],m_axi_bvalid[1:0],m_axi_bready[1:0],m_axi_araddr[63:0],m_axi_arprot[5:0],m_axi_arvalid[1:0],m_axi_arready[1:0],m_axi_rdata[63:0],m_axi_rresp[3:0],m_axi_rvalid[1:0],m_axi_rready[1:0]";
|
||||
attribute X_CORE_INFO : string;
|
||||
attribute X_CORE_INFO of stub : architecture is "axi_crossbar_v2_1_27_axi_crossbar,Vivado 2022.1";
|
||||
begin
|
||||
end;
|
Loading…
Add table
Add a link
Reference in a new issue