it's an adder now
This commit is contained in:
parent
ba46ab8dc4
commit
8616605746
376 changed files with 1693682 additions and 476560 deletions
|
@ -0,0 +1,64 @@
|
|||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
|
||||
<spirit:vendor>xilinx.com</spirit:vendor>
|
||||
<spirit:library>ipcache</spirit:library>
|
||||
<spirit:name>545344bc7fb3e6c2</spirit:name>
|
||||
<spirit:version>0</spirit:version>
|
||||
<spirit:componentInstances>
|
||||
<spirit:componentInstance>
|
||||
<spirit:instanceName>top_c_addsub_0_1</spirit:instanceName>
|
||||
<spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="c_addsub" spirit:version="12.0"/>
|
||||
<spirit:configurableElementValues>
|
||||
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLK_INTF.FREQ_HZ">100000000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AINIT_Value">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.A_Type">Unsigned</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.A_Width">2</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Add_Mode">Add</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.B_Constant">true</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.B_Type">Unsigned</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.B_Value">01</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.B_Width">2</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Borrow_Sense">Active_Low</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Bypass">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Bypass_CE_Priority">CE_Overrides_Bypass</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Bypass_Sense">Active_High</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CE">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_In">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_Out">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">top_c_addsub_0_1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Implementation">Fabric</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Latency">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Latency_Configuration">Manual</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Out_Width">2</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SCLR">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SINIT">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SINIT_Value">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SSET">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Sync_CE_Priority">Sync_Overrides_CE</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Sync_Ctrl_Priority">Reset_Overrides_Set</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">artix7</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7a100tl</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">fgg484</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VERILOG</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/>
|
||||
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-2L</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.STATIC_POWER"/>
|
||||
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE">E</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHEID">545344bc7fb3e6c2</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHCL">$Change: 3513466 $</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHCRC">92af31e3</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHRUNTIME">49</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Unknown</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">14</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/>
|
||||
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2022.1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">GLOBAL</spirit:configurableElementValue>
|
||||
</spirit:configurableElementValues>
|
||||
</spirit:componentInstance>
|
||||
</spirit:componentInstances>
|
||||
</spirit:design>
|
|
@ -0,0 +1,2 @@
|
|||
NumberHits:1
|
||||
Timestamp: Sat Jun 28 02:34:41 UTC 2025
|
Binary file not shown.
|
@ -0,0 +1,358 @@
|
|||
// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
|
||||
// --------------------------------------------------------------------------------
|
||||
// Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
|
||||
// Date : Wed Jun 25 03:38:25 2025
|
||||
// Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
|
||||
// Command : write_verilog -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
|
||||
// decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_c_addsub_0_1_sim_netlist.v
|
||||
// Design : top_c_addsub_0_1
|
||||
// Purpose : This verilog netlist is a functional simulation representation of the design and should not be modified
|
||||
// or synthesized. This netlist cannot be used for SDF annotated simulation.
|
||||
// Device : xc7a100tlfgg484-2L
|
||||
// --------------------------------------------------------------------------------
|
||||
`timescale 1 ps / 1 ps
|
||||
|
||||
(* CHECK_LICENSE_TYPE = "top_c_addsub_0_1,c_addsub_v12_0_14,{}" *) (* downgradeipidentifiedwarnings = "yes" *) (* x_core_info = "c_addsub_v12_0_14,Vivado 2022.1" *)
|
||||
(* NotValidForBitStream *)
|
||||
module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix
|
||||
(A,
|
||||
S);
|
||||
(* x_interface_info = "xilinx.com:signal:data:1.0 a_intf DATA" *) (* x_interface_parameter = "XIL_INTERFACENAME a_intf, LAYERED_METADATA undef" *) input [1:0]A;
|
||||
(* x_interface_info = "xilinx.com:signal:data:1.0 s_intf DATA" *) (* x_interface_parameter = "XIL_INTERFACENAME s_intf, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {DATA {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value data} bitwidth {attribs {resolve_type generated dependency bitwidth format long minimum {} maximum {}} value 2} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0} integer {signed {attribs {resolve_type generated dependency signed format bool minimum {} maximum {}} value FALSE}}}} DATA_WIDTH 2}" *) output [1:0]S;
|
||||
|
||||
wire [1:0]A;
|
||||
wire [1:0]S;
|
||||
wire NLW_U0_C_OUT_UNCONNECTED;
|
||||
|
||||
(* C_BORROW_LOW = "1" *)
|
||||
(* C_CE_OVERRIDES_BYPASS = "1" *)
|
||||
(* C_CE_OVERRIDES_SCLR = "0" *)
|
||||
(* C_IMPLEMENTATION = "0" *)
|
||||
(* C_SCLR_OVERRIDES_SSET = "1" *)
|
||||
(* C_VERBOSITY = "0" *)
|
||||
(* C_XDEVICEFAMILY = "artix7l" *)
|
||||
(* KEEP_HIERARCHY = "soft" *)
|
||||
(* c_a_type = "1" *)
|
||||
(* c_a_width = "2" *)
|
||||
(* c_add_mode = "0" *)
|
||||
(* c_ainit_val = "0" *)
|
||||
(* c_b_constant = "1" *)
|
||||
(* c_b_type = "1" *)
|
||||
(* c_b_value = "01" *)
|
||||
(* c_b_width = "2" *)
|
||||
(* c_bypass_low = "0" *)
|
||||
(* c_has_bypass = "0" *)
|
||||
(* c_has_c_in = "0" *)
|
||||
(* c_has_c_out = "0" *)
|
||||
(* c_has_ce = "0" *)
|
||||
(* c_has_sclr = "0" *)
|
||||
(* c_has_sinit = "0" *)
|
||||
(* c_has_sset = "0" *)
|
||||
(* c_latency = "0" *)
|
||||
(* c_out_width = "2" *)
|
||||
(* c_sinit_val = "0" *)
|
||||
(* downgradeipidentifiedwarnings = "yes" *)
|
||||
(* is_du_within_envelope = "true" *)
|
||||
decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_c_addsub_v12_0_14 U0
|
||||
(.A(A),
|
||||
.ADD(1'b1),
|
||||
.B({1'b0,1'b0}),
|
||||
.BYPASS(1'b0),
|
||||
.CE(1'b1),
|
||||
.CLK(1'b0),
|
||||
.C_IN(1'b0),
|
||||
.C_OUT(NLW_U0_C_OUT_UNCONNECTED),
|
||||
.S(S),
|
||||
.SCLR(1'b0),
|
||||
.SINIT(1'b0),
|
||||
.SSET(1'b0));
|
||||
endmodule
|
||||
`pragma protect begin_protected
|
||||
`pragma protect version = 1
|
||||
`pragma protect encrypt_agent = "XILINX"
|
||||
`pragma protect encrypt_agent_info = "Xilinx Encryption Tool 2022.1"
|
||||
`pragma protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-2", key_method="rsa"
|
||||
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=128)
|
||||
`pragma protect key_block
|
||||
pOoCy2lYSLdoKW5+30MbT0kuTiRSJJ4jG7/RQeB9eRVWQwL+y1zWMiA09vc76Tm9Rm62Cxs2gO7D
|
||||
cjnDEBDTj9JD9pG0UZ4qvjorK7qe6ttWdmBnoDWg7u86SxcI9hFq/+WSIIz2nufv18Jw1CdSZZOy
|
||||
fCT9rx9380uRhjUSqF4=
|
||||
|
||||
`pragma protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa"
|
||||
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=256)
|
||||
`pragma protect key_block
|
||||
w0+6vlnZpk2rtsD4bdd9LWwLOAaGlFa69N2rasBQ5mfULBHdtMEL9pZ+aHU222MNGvYQsqWvMFAX
|
||||
ZesYGDQv4e1JRb1BpT/jMo86E62GcoY5Sma8x/T4td4zjf0tiKaBP1Veutb3G2ureWf4YyEtS8Kg
|
||||
0PbkWpeGMRgAOQdiRWzcZPYx3TUExmrrpy9HrfwUmeKPRnrLj5W77hmCzmmY/53V9Md+OUxZ1ud9
|
||||
ra8U2X1hpvTj8Igqf7zkxVLE84R1u3R0xstKE7AwHtdQLmfOOTdMaIeA0cXdV4Fq+KbQfLKFpCch
|
||||
DBJGJEKdw9bsv3LDnU2dwusgZdqMlPyniUhHIw==
|
||||
|
||||
`pragma protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VELOCE-RSA", key_method="rsa"
|
||||
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=128)
|
||||
`pragma protect key_block
|
||||
ltA4GqALLdRzzHvj261Uwyntak7z4EkFduT9K3QGtHb0sATa3ybVqK8ZI4jQfsAU7X0LxSWB4LoB
|
||||
EFa45I2fE9dxusPz3iWXrfp4gx+koM3MHUXxrPW4jG2EucHFZqktvn1Le6uXQTfIURspnbJdYYLN
|
||||
gfsKiiF0MyJaAIqneyk=
|
||||
|
||||
`pragma protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-2", key_method="rsa"
|
||||
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=256)
|
||||
`pragma protect key_block
|
||||
FfEP5FVX1Ax5kxu3ZOO1vQ9h+VBDpOGG5H86yMu1QcxnGqo9gnUM01F3P96BdUFjnV725KGMIQni
|
||||
FqRDoY3T9Ab12xgASphiapofR3LD5psN/JEAIwqfr0LAp+qbJG9vsC++s2ZWr5bT40BWrvc2jxSi
|
||||
Mi9YGtaFzL/QmiZd5c8rctXA06pFCKZTPrpVbHHVLIxt3wRGSfzPS5Adi6/wI6QDGsNH6a/h9Rtx
|
||||
LuJWu70Te3UtGxU4L6xsNyvbBZ4CCFz0dL447PvB0CTDucB/adAFHdWo0DhlvfzkmkoGEAhixHmj
|
||||
AoxUm5dvM43CGcaupKLSyc0TidiSEq+GR7l2NQ==
|
||||
|
||||
`pragma protect key_keyowner="Real Intent", key_keyname="RI-RSA-KEY-1", key_method="rsa"
|
||||
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=256)
|
||||
`pragma protect key_block
|
||||
ZQjvUbsJRfCx35iNqwoFQmy1CIf6Coiu+UjavEdAZwIWsKthBwuj7XZZrMuAVlWaw7ztRQITxIW9
|
||||
joM5AKjSyOnQP0f19GFwzJf84AeawIF3W9rKLzDq3SOmO06CNxp31zfLk/c+P2Aynl/Jtva1fUn+
|
||||
ZF04qq8HtC+QFVbXW41MZFd1Q/xtg+Oldf7hPUXUSbzn733pCcjZe3zSpulIMxkV5fk6YIh0+pJe
|
||||
FvtHzhcQPf+iDQm82ToezAiqE2VGuquf6pVU84b/8tWxFyqvKnXHdfXGLEBP/P8+ifSgyJsyvbli
|
||||
DuFRBrF+POpxQ584I5j7CL45AdM45WR9270K2w==
|
||||
|
||||
`pragma protect key_keyowner="Xilinx", key_keyname="xilinxt_2021_07", key_method="rsa"
|
||||
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=256)
|
||||
`pragma protect key_block
|
||||
I8jEzvdjBEX5gYqZOZMUoavSPVwkkhEzNXKZi6Gv+UZEzEyUPN1l9Jdmm/OohZWWJebb0ecKEuTu
|
||||
VzUnDYkQifscYwKLjT++bhkfP4fHR6IIJnlOajPL1U2absc717Uq65HLaP0ISJfczzo4S5Mq4/hU
|
||||
Rj95X70zIG4pYiyaOllA1V+RNsA8rd8f45qhX1XuzYpufo4yOkEdXFfuzY1bDq2nK2OMRH+4TOXw
|
||||
XMXsCKqNTpsyaEsutNj+rZ7eONFsaOylKo5ofdpJ7IxWzO1aaCcYaqmbNCpkUqe5RQvLE4FuE8Nc
|
||||
kmvAvUj3g/pJm2VGGdJ2nOsn2uyD9ptFCVK/nQ==
|
||||
|
||||
`pragma protect key_keyowner="Metrics Technologies Inc.", key_keyname="DSim", key_method="rsa"
|
||||
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=256)
|
||||
`pragma protect key_block
|
||||
SEnqVTEa7lkg72h4bpTlKL4fhEuzRqr3rTaNC4OrFZR9Cmrlss8ucbtz4kUEBRUrIzx8ZMZ08aDm
|
||||
ncvKMpDrsOi59zmzvYyoJ1TK502awdtp+k9xaIVQ0qsM1TxTMEiq6w388MnoJjwOZ7BhuBa1GHgd
|
||||
Dx/0z+9+rmVCuHs+HULZnwjMyc6gfx7LRVbLQPb0S4Oh9i+rXperDiv5N7FQeNdfsDeRCLVRB8U+
|
||||
OU60liE0nqP2X7bte91esX0nspE9bMEb88nopvRxFTNBXG/PoJoQvlFjrUXWbVELwUtfC4YAkcvq
|
||||
eB2RVDysb8DuzJ1PztoiUPf6FAvw6WhfDouB4A==
|
||||
|
||||
`pragma protect key_keyowner="Atrenta", key_keyname="ATR-SG-RSA-1", key_method="rsa"
|
||||
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=384)
|
||||
`pragma protect key_block
|
||||
k8QHc8h8draQ9agAUUfM6s9ta/pxWprdP2NhBlzOaFB4j4J1MHoYvPuMlWY3IJ+53iC58d58V0KQ
|
||||
DSf99XKI/DpI43M7z3Rgp6WKRkU72yQMB/tXQk3sQKHKE6DVb5qPkMC13hq+bkDwfv5JMbQX9lwx
|
||||
tWwloAcpFCR03nGPXqH3V+FDys7YFNmWUI7F6BYxX4W4/4feSosYPgETUP5gLQPnK2SXr1PUeuuW
|
||||
7UIFcKUwBjx7S6gYUPq3hlO6AFN7ync67eZp8N1tozzGnF23XCk7gUU6srDt2QOWbA0sBL6TTsrI
|
||||
WW1ADpBsIdstAGiZnMRRGDeA6rN2JiwulhuiWHQAuKSkUSbuIT6uPRmlnd31uhSh7aTrvogfUJSz
|
||||
uGNb/TAHJkpHm4yL40iI8yO7JTcwLuy2ahdpE16AMDfZJFU4IGouo/H5+p6SO+jvzHZYkIWZusPm
|
||||
nBK++GQUzk/PMlSRT0cCTnxIgVAFToP96NqnZtn2E47iYToxig/+YKBy
|
||||
|
||||
`pragma protect key_keyowner="Cadence Design Systems.", key_keyname="CDS_RSA_KEY_VER_1", key_method="rsa"
|
||||
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=256)
|
||||
`pragma protect key_block
|
||||
LAw56NZhBnNhisjUaakOb87L4sBFj31jlxTUnVSf9FfOIV53do249b3JPp4K8xGqQsYrn2pUQ4U5
|
||||
FOdo/y2m6aKiAdn9UA1Nx3HHK46586WxtcSd74xxYlIKJMy+xE1yeJIeWoC/+HvDmglnTzt9aJaA
|
||||
6XKocO7esAOu13fafAa/D4l+73oqYb+v1wIcK34mj43NqEWg+qxUVG5vj9kDrx0l72qkTMtQeCMZ
|
||||
j9Rr2RJ9uMO8H71F9qVSbAIun5zjMh+cd29z54TJZTanzFEdpk6UmY6Fopv5VuIykHN10J8fpmUH
|
||||
HEQQJDGCCq33NL6UiradkAd+1GvEk6iYZmweRA==
|
||||
|
||||
`pragma protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-PREC-RSA", key_method="rsa"
|
||||
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=256)
|
||||
`pragma protect key_block
|
||||
LwQSvR8jgiJIAMaxAQP4dB2uRM8N6iK0pjVx28XH8KPRMWWdd1GWYae2sI67fJqH7t0rWXbv0fCg
|
||||
j2lCumVe/1KuPJpxTLjn5Qbeg1HjdIPYIN8VhYaBkYu7RS6tOnNcuP3ODUUc2WgKgzOGeGkDW19q
|
||||
BmrBHM1yWbMZ3sCg9RCvQKMkVWyO4v4AW59pFMbZjp2WFRUq7bIkGV+QTYjz15WKGMTVQeCHcRUo
|
||||
XKf0AqLR+XaMESFpG9gCAKuUDvgaGfH4PEX5TyF0svCJ8U5ZJj2Rqau2wX+FyItaIOrK8rlqXLjA
|
||||
xrmDfXFG2vPrnWBJC9LioJ9VYIzoVfHcwUUykQ==
|
||||
|
||||
`pragma protect key_keyowner="Synplicity", key_keyname="SYNP15_1", key_method="rsa"
|
||||
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=256)
|
||||
`pragma protect key_block
|
||||
VLga7GC2Zrna5Jhmk4ZiIwOiZeMhfkR1yitoOESjT+O3HAgeuwtwCyBuXPjXZBz5RXBlKe2icD+Y
|
||||
/8NyUcQG4g4LcYuRSjuyJN2N45PmJ7qQ+wkbSHfeFb2NkBtSeDJshG+keJGhOAtXaAQOgfUNwp6T
|
||||
OvzZZxpaDBx06DMLlevDFOIdGx+CqWlXkzMBwiOowFjW7PJfdaxUMJ+XU3bzNw6uXU/W+YbbmMx3
|
||||
vHjp3ULjBRa3Jncx9IR+vSuq0+H9+zIeI2S5GTci6a0du/omfCQ2cMrg6OfPRBTQyQyYwacX6aF+
|
||||
0OvIF/BCuhbhm4dsJNLznIwPFpuwDQBQ1xbHVQ==
|
||||
|
||||
`pragma protect data_method = "AES128-CBC"
|
||||
`pragma protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5728)
|
||||
`pragma protect data_block
|
||||
PyIJNs/JR35zXPDc9c/Rf8iJKkqApdtGfdjVu0L6MnbGhRp5mYHOvxfMvf2xCcDbZhTlkuyG0nNE
|
||||
JqtN++XGkllFjrAmpIc1Ahh5PtVScIQTAiZr8zuPXvQDaSknWSXHLckP1SByQwAvyzt07X+lZlat
|
||||
mLmEh0X1mc67xIuvU7o2O/we5c7w/nwKtDfJGJMzRkunXQyTNvNGvbp7So57rTAPuH04VZ7i6Ejt
|
||||
5TOcoQwBuYjH5QIvUaxedwBcqNFshD6FV7r2yCZiuViUeZoawiYgQqxhIm2QE80SLrSSVi0datiP
|
||||
FcHe20Y5Mj6Jr/dILCLN3i2JZEacWJDS9QjhobXR7BUvAREG2BYiy10RJAWEX6d4w98246G8dy3K
|
||||
YRyUuJXg16RGKrE10d5yru56QFlJNTvdG3ITF+iAeWVYJNKlyX//7jhxGkBsDMZ02lRhk5EC4Xtk
|
||||
reFjmu0HRLVNHFG9LNbEczP9WC+a9PuZQCO6KzlUJsWVVnJCXCrgoFvbsxPuvrjg+1aKKxxCV3Zv
|
||||
iU8mNItX9xxkurV3SJ6v8aLdhOREKlM+f9+UJADbyii4YbXPVIKK75nuPnAB1eT1+DmA0D78+X18
|
||||
EWshKqScBylymhKAELnvPz5AGxWtwkeWJf1A6hFFokdI2puHBIXZmOO8L15R8t2n9kCdkoDYOlGs
|
||||
n6TOHUAuv+YenbHqHOhaDVw/hgBCpOFhK5y7zH7a/nKLl+Aetr5r27wTcn6oPB8iMFEjX0W83a1V
|
||||
RCkR7xtRfVU20XELEy+EmiH4ctE3ZGkCQ1Lf/aULGKZsD4Nwbhn4mywNxKmpstjdPox2a7YAUjCv
|
||||
7kstQj6maSGg2OqdVD2RRgzOnRaqby1L7uwwjJjpewwyMsaWjDxhCrvxDVxMCrw+TNzcfDkEJWHY
|
||||
slnGjlkqRev9KpOp501Xw+5Km25yUx09DfT50GTRzqwOpNvlNH2gxaT0BeCOZb6P2AOU8hBynmCA
|
||||
mrzZqwJpW2sNb/P13hpF3MripWJv0RcSofDHnX1gMCM7PzR5iQirUwoji1pNgNnFuWisn+jhK5kO
|
||||
8DAF1dpRH+4q4CMWVqA4fIHMORFEZhp0e2UoVvwqbcWFOnzxZlAfzVpbPTMLXHwrO3+AJYNJCVKf
|
||||
8uHz2Z6NpKwh9wTbe14eKX7YY5icDvxOVELialAAjCrNL+6PQgIlObnacTbR/VR5efRQr/KzWTCl
|
||||
7tRyoibzlqqr9gz/+Csd6/SxCduqc/IVhjPIfkSho3lP4HmVa02XnbHoMgMIJgS6tvEshoMqo4rC
|
||||
sdVWhcmWa5ephOGu/hmc7cIP2ddETgwlnDyhhE7K2Ams5rmEu5KkhO/e/tmHkomVm0TyyLUG7f7h
|
||||
DbYDhpTuh5PZMkwoxh89ho6BQI2xFZYEY3m9pQdhIWnhkJUPVq4RDo9145w444O/ZFkk3EB3k1jA
|
||||
bcS3bA1ZvLIYctDTqr6ePUa3f3WX9jdC0f4pcAnNTJiv+BZEr2qPgIR+2V5+HeWlgaNxh1guv7JB
|
||||
uQsj0xgMTXpnFSu/3EW1tBVyOnpcnTMeyRqKnOIH//DCrd8K8BuLSsjcZAm8A+ZumJrO3QKC56OH
|
||||
gwUyLFL696V5lSz3JHu+M/qmxL4Ok5wrbdZWlKrM30wrggVwMvC2c6hSPULS6si8qHMRfiVSVyPz
|
||||
LpYsIU1adKlZwNFt2VpH7Hv21rMuY+O3Rj+/xIoQ3ZEIx5cdNizWh9/4ut5eJ1CUJzi/u1IBj2WP
|
||||
PZNi3VIuT49qFuzkJ3scP39CQI8lZVmkNDtTdEvH1RG2TnXaUsg9B2V9D2Q0NJJo5S7ZE/918Rn6
|
||||
ILuLc3UnXG4V74yoGld3u0aYf2l8/+5YPXw/29+1bdOmcYrVJhaztOngwGrVSBPDQmIrifI/LqdV
|
||||
W1kVLbhhInoqdHalXENmpnYOpGpQUxZpdcacy+Majiwe7tprO/9437aYBB4gr6YqYEYArYZRZDfZ
|
||||
MDoCxue32RQtLMTBcgyhT9+jZy8mc8jmQ0yWYvSfYZrEmdNJGuVy31Nxb50hW7O5lDRBOCBG05TC
|
||||
3Sxv6pkSlvN/QFzoe/lwKAaOeDoDR6b2Cpvyvbf5z1CZ1mDl36789LzNuaPWztd9r5qkpSjvZgQA
|
||||
L4FYKOmNaM1DvuEJoY+MSX34r98P1LFakijaqCpgXp94eOnzXy0R/BSUmCNFl1dxYhXbVjVyvJLz
|
||||
pZlidRpz0mmUCLlev771X1zM0VBfedqLx9mF6Ecgob9ICisN1UUGpR5MkLEaeamG1AyJTjZwJpSI
|
||||
1TVqHYFy0sUvOIHe0cengjm+WIWEZgpC/vxq4OL2XbcV7REo1WEefN30MrNOBClPqVitLHMwM2z5
|
||||
nvARY3qTFDNeBoms/ePiNiIwnhMCXZzz9JRV+SjPh27laS2Gg53ySg5IYJt7ec38o4zNxVP9ScvM
|
||||
Ix1ZN1BUrWgT2nGKgsTqMSAbB8h0ojygSaaLqy87KidVMPpZeJsnTcnMmppIkG3f7bzOFiEgeMFY
|
||||
SZ6LVGFgoFSMhOlw2yewp5MP8Z28ByjfFhufouLoOfJNPGnjosMSuE84YyVCKMqIo/djhP8kujzc
|
||||
oPUcB02KgRnryH8GrEKQz/F/sTqEXyh5XKA0spr7GE4rqWH10MJDd7YvqI/7hxSlKgG3jXBgG5tR
|
||||
dtFm9ijxU5jgnu49p/g5PFCeJWrTCdo2PGaTpwE/sHhKPXsdOUYsUB8L+rS82eAKa9MjSLxYdrf7
|
||||
kxVxBvde27Sc1CaA4o4COLgbMsWacFTu/WIwYPeQ/qMs237EgfB1TAzstbzSi1RlUO7L3nKHrWeD
|
||||
fhYpgPgnTMm6tJDtBkJhsKsWLFIsbyPhmq2JAL/biahx3aQcNB6npJQIXHuv2LU193pSEm0mZK6F
|
||||
HJDmGAA6nKiuoN5xHKy/8pqUudwGRHzjOYhzIOiZF5jylrhiZ2cHq+nkCfWczzYDyqdFKwGGpkAy
|
||||
cApHdbS9xRYxHY6fYgxD7ibklX4GTUDqZwOFKL3WHq8i/PHIEmX+3Ln6dzQ5UJ+Wa0hRzE0sHfK0
|
||||
pzIxWci8DMrrvVqRw8abDZ4S5YwsJQSe1f0fytDN+PmMtLB8Bcq1Qzogclwgweag+s7zmPzr0Jrj
|
||||
EILSh9fBY7LQ/cudzcK8RtWTxKhH55awWQ0RnE2TgmnhuJs+6J8bOYPVfdGk7L77AxTWSd4Yp8Bz
|
||||
jU/OZQmHGL3g3SguvTx3pDnN9bbm60fv6wLFamYFLIf3maiVDHgDQ5tLn2Y7+K9/Vw3Ec0iIK6Qw
|
||||
zTVIlndnsmnmlydIvP6BrmqYB7EShjfpYYjzmSLXDKXhjIGiobeSuCSpwXvUEnwGA2reiogWLlox
|
||||
R07hII+g8anoE3tctrFMCOABJ75PLEQ6xDocUwt8ZW4ksanJuI18fIOACcmCuQfoXYWA7hRfWrlQ
|
||||
G6IQ0FKlk1WwnPy2ovocXykjTLDf6xcS87wSK3ReYsuQi6aKEFwZ4TL4kgZDJ6b5fK35d0Z/moh2
|
||||
7ih4hfDhY6RtMtehYpLjDnXyrNL8Zv75LVZbL6tSnKdq+lKqCEv4qgKo4nCec7K63E+MqXAf8DaQ
|
||||
hr/p2NWwPXoDN1JAXcyOWjUpv5ZXT+Y7lBAd0BYqGGzMWces8GR0u2FrIBO9XX4LZubx1qvX1qrC
|
||||
D04kYVQKYh45lxEFAF6d763Ag/CRzNsUHBCOqdPekVfYSVoR7yng4RJqGPpnJ3Eb79y9Xa0otPDZ
|
||||
LEgWXHYEPyyHsnHQ++lMZEUduxt8O3Oc9eTXHSgapBNqxtEc1a4ApBhWDMRHLox3ylXW/EImJn3o
|
||||
K7e8DcAJehFHqjvUpZfmCsPenvLgWjUjsIwNkJiRTxPSUi8ie66YG1fejxl5rUL8/wYxW9SnGWGu
|
||||
x4507uk2f0/qhfdnY/uLsVCBs+/NMw5PYyaui3IoN7oVcNYS+gDb22HG1sD6zekm/bxJf+jmbr6F
|
||||
Ca+HCTKktTmxQDLmK6vT5VWyT+klB1+xW9PPDwHfgDfrzwPoStDv0CSveZ9OkImpKu+8HP0Fli8I
|
||||
cwXuJIj1rtLasKsKbIHqiH7q1x5S4O0qs7XOcWdqCdMRmvONTSnXceJs8YA830e/ZWZw0gwaRyiF
|
||||
YbcDBSFV0qKLnhmGrgJ+++gTZCqdU3+6YWNxaR1dUoPsjyNnUHUX0glyAHazqBwjNv/RRLSwC/+8
|
||||
wfO/q2Hsg05IMN9vVT0Pr45tZTqLO0bg/ZL2TgIn7I2iU/TMQFLm9cqTP41BhSqCcJdKUZyswwRf
|
||||
2vKtip0IoxqNZiR71+ciPmZHx9Z/RWi2oiGCYZrHdr1tl4pjf15Ap+jjtN71Ee5wVPFuCbkY7GWu
|
||||
A3m6cRfHHIfXSA/XymaJA1S7SUcCGTj4WThcrifEhYv3YbB43378wS7m4TiswNuamxULsZidQPA+
|
||||
V5zCBFqI7/nyrw/l0WyUx7QVSFo6kmyc+SAHYlFADDzdxeSEOch8mx9tdGKDBLiX+1DYpVQYZT2b
|
||||
AZu/9JToL2okVgXrow7HlvQ4zULCrwwfalYcuLRrjCs96GH4dVyGm+WlYmaysCULUZtMCvUuwFdh
|
||||
7LYWW8V/uondy+ExQJobblUBy7rT1qOPNMYCfIU8dFN2I+VfILjhVzawRrrPjtM8eLpF3nEUgYt2
|
||||
XUk6yMX4XZ7oeJPajBtF6w4T89F9rRZ5z8nPGL7oVlmujknZV6qqqwvi1xtlY0shhDQQqrGONL/G
|
||||
0XssjrKtiLkvzbFE9l2Be55UGhohVEEmYHEyz1nQk+aOPR0TdLp9gZM9j/FKE20D/e2ZaP3xbdWt
|
||||
s/fHOYIOOMzdu7aGYEbpetJZU6xKL4BIFqnZQJlLpbiEYqFAbRLkhXjhidcKv5Uj1ZJLm/ZFkoRb
|
||||
HlFyjruO5cJwrsz2ILweqV1rbIBWIEILIscFP1TuaU+n3AIVUpEH3+fKpT4Jy169bHMDGdmNBIrV
|
||||
MqcF7PTnsrkBXOIkQFBYqelORFKiV9N7ClgWNnfYSQxtkF9agGVnQiNiKTuYWj/E0IDd+Dn5thyv
|
||||
sWZYiacYjTxfXVAkddWEDnoYnJ4eLPjZJDYFpsbiiquEK7MmQ3qrWEvVulZLosyfPJgxJnCGUTZ5
|
||||
qEiFCu2d41vNlt0x38diSTZF2ua01FaBRk8CeX4NxSo6VwksZRtJP7OktxLgiLSehItdhpN+upV7
|
||||
9oyLrRPoFLn9HM0HHW8XE20lS+x2yrWMmIkhO+vpw/F22U0jstPAtDlSiwjj/CAxD2a0AflohAlm
|
||||
TSVIHkUe6LiGpCtIfsr/WhcO99WjO71cXp3VCVfaxDaMGQZWMpNjqu/ePDQmCkPyxJL6WTFDspIz
|
||||
z0algCf9HJd5chU5G1CWpzh0Lpo7tQN/M6bAyLoEVCuAZ3C3iKf4QNTTE8fI8SvbuK7WAHqOaB1n
|
||||
eeNYtFwYJbxJfC7z273vYXQOKWnD3X20NK65sfT1wtzSygKsf0IQuTAvz4+NtE7aoYO87UheA9td
|
||||
anr1gXXwT8FHFPH9H+ImxS8bI8fJ9GNdUBf4N9HMGv5oNka77gxZ7bOR/hxKvmZmmOfs8z9o/Y+W
|
||||
siGvr/xgRVIKXnixqCQHHlwGvs3lwZxBWrHjZildOHw+iPDZNs6e75RdyC3smR/Vfxsdg7bo5Jse
|
||||
Bd5r3Pr4ZahYNvAVw0rIvlXNVyBSZ41gd//sAb9kUC4Mq/erWJTZtG+nqrzy2PhsRj/aKUYn0pxD
|
||||
I9+7gpx04lCe86eaYdioqz0SIrg7UOExOIGOdxq3NL9ZPMuL2RoGwDMzZ1YAaYT+aCnMvD3STNhE
|
||||
A3lANQG4O5VuHabX2W1qKMe+t1k5NI95Xi5OUmAZ8jtMlwSsY/jWLIE36fnLGLuN58tT3NK16rzv
|
||||
YRJBGvPh3m9ysMAuJyi5edmI+5lOnYdoXU2mq12Cir+x8PZlx5x/0YMqR9fmVwG1cMtW8Z2R3NrR
|
||||
YW5kWNb8+HkYUlUPyHrIc0Sljt8fA/eVb/dpuQhyK6VsgmpJwaNjBLa3UCHThbQWkS5r/8M6bFZu
|
||||
7tzfQoBsg98niSDsR39uE3qA72iDmm4kuHKwls21omeDAs+UqfO29aLD8SJoJ9fQxnf6/fOCLIJ3
|
||||
0PWo15yysQHUlY7LL6JU8F0evkgreSuw4uEtoLcbp6+iQ+RP7Yz+m85U5rrz8M+h4i3DmyVza1IG
|
||||
XjorGGfX6Kimx4D6WPzp7QGVr9it/0shg11sq1a5u+EwgO4/Bd9GMM4HXChV/YE2HmARYF1mVpGE
|
||||
UJVw/w8gKorrHaiwHUZx/AKGlT7vPHAfUCURA7FDMwX/ptGHw4iAHNLwJoePAOJ7Dp+5I+0MsjtR
|
||||
+e1XAJJckelv8/cDppngq2SEFNanEICcCIwYFYzcFzgoONlPo5V71x6gvk6gVjbjDeqx7u1aOY63
|
||||
qHvCQyfBY7vUoAPim9FaxuzhtSFixHMAopb4DA2LbNC40NrmRZRhLoRs4ItM98Xe6GHMwkkwoXeW
|
||||
08EW5CgrXfvisn8VBqH9IJs790gZ10PkqZI528XoL3kn7sD36y0FCsW2v9xUeYPfDA5IsUltPkWt
|
||||
w6ZhJWyvpfgoQuj+Q26undEGRBNuFmd4gHzQVjOwmglABbPqGHTvQ8qlKoT9EOpQBO7w+Y499HB9
|
||||
xR6wHw+bvA+9VH/5gZgye40icLq3Owg4tHriXrwgMqgDUjj4AbfQA7C5y18mzhYAGtgyUkFx7WRj
|
||||
y8cdEPKfmbIyWcCP3dkn0eXM7vpZv9mDz8os2fvM5XQm5peqaX1u0Unq2RvClLlXhOtKiWeXempv
|
||||
h4kCpD1DD3wANbXZ23hHlMpzU7OqK8KMylNDC6GrgqBgioQDovIsMtkDJvzkuxacmE/yllcIwiJw
|
||||
8UdM3ESStCKrjpaGpmRuCNRF/ydBW6C/29WedOun7M8AgrEnd9JPO3le3rGXQylZxg3K8CRnArWl
|
||||
xCMTDWSqcc10Yh8cBy9Y2XGdd39xdWt/vN7MyW0BXsfSVgVPhQY1NJluZ/Y9NSL1MU9UBr2iMix5
|
||||
vfDAohGkbvhaT0VSgLiObhC7/3KBVAl0m7i4SysDtT3IAc6viaF1dXdjY5cXDNvsyt9fHxkzQkuF
|
||||
eMfi1yH3yKdb8SLbCrvPSYQo7tY3BdpM0LILjt3mLH/nZu6oTRs4LbV6lZibZq/eOa0iFvDURzlH
|
||||
XgrvzrsnNhP+d+5Rx0Y4kPv8YSxEtLLADCR9J6PS8YpruuBb1hFNqvlgTHK7wp5mr/+I499mstCa
|
||||
Pms9SnNmyKR7TB2jB9i38ezGUU8psgcM1yjDCwgr+MGVVH+RadK6WSRGYudWpBnnucFd3FPDhuSr
|
||||
UqYhmCb0Njw/eLZYtds+KgxEk9k5wwDNMdozJyYtl6qJkTauU0g5KZS6JX0FGEVXGiUHW+Rq+TAx
|
||||
O9ZcntzLzzoJ0grSp0TE2deDDc868HNbDrY/Ch9SgrwU9fv4fJ4JzG07uoDfWf2kanCo4joa/1zw
|
||||
+NVnXtkZjqE5L03xM3AwccQQkx6HRDOiJ/xbBQGJzORHJkpJISbAYJ8Qum7VKbHaxUUL5sJ1R2Pr
|
||||
QPJ+DfWM5su9L9jv5B4OE6w+pK8NvfAzu5l9+GWoA9DN44bDxARTjJrpNhk9YA8JrmLuKbxa+NNd
|
||||
wJWb6kt4sy876RB9UMBsi4NKJ7Dr9fBc2tffng==
|
||||
`pragma protect end_protected
|
||||
`ifndef GLBL
|
||||
`define GLBL
|
||||
`timescale 1 ps / 1 ps
|
||||
|
||||
module glbl ();
|
||||
|
||||
parameter ROC_WIDTH = 100000;
|
||||
parameter TOC_WIDTH = 0;
|
||||
parameter GRES_WIDTH = 10000;
|
||||
parameter GRES_START = 10000;
|
||||
|
||||
//-------- STARTUP Globals --------------
|
||||
wire GSR;
|
||||
wire GTS;
|
||||
wire GWE;
|
||||
wire PRLD;
|
||||
wire GRESTORE;
|
||||
tri1 p_up_tmp;
|
||||
tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
|
||||
|
||||
wire PROGB_GLBL;
|
||||
wire CCLKO_GLBL;
|
||||
wire FCSBO_GLBL;
|
||||
wire [3:0] DO_GLBL;
|
||||
wire [3:0] DI_GLBL;
|
||||
|
||||
reg GSR_int;
|
||||
reg GTS_int;
|
||||
reg PRLD_int;
|
||||
reg GRESTORE_int;
|
||||
|
||||
//-------- JTAG Globals --------------
|
||||
wire JTAG_TDO_GLBL;
|
||||
wire JTAG_TCK_GLBL;
|
||||
wire JTAG_TDI_GLBL;
|
||||
wire JTAG_TMS_GLBL;
|
||||
wire JTAG_TRST_GLBL;
|
||||
|
||||
reg JTAG_CAPTURE_GLBL;
|
||||
reg JTAG_RESET_GLBL;
|
||||
reg JTAG_SHIFT_GLBL;
|
||||
reg JTAG_UPDATE_GLBL;
|
||||
reg JTAG_RUNTEST_GLBL;
|
||||
|
||||
reg JTAG_SEL1_GLBL = 0;
|
||||
reg JTAG_SEL2_GLBL = 0 ;
|
||||
reg JTAG_SEL3_GLBL = 0;
|
||||
reg JTAG_SEL4_GLBL = 0;
|
||||
|
||||
reg JTAG_USER_TDO1_GLBL = 1'bz;
|
||||
reg JTAG_USER_TDO2_GLBL = 1'bz;
|
||||
reg JTAG_USER_TDO3_GLBL = 1'bz;
|
||||
reg JTAG_USER_TDO4_GLBL = 1'bz;
|
||||
|
||||
assign (strong1, weak0) GSR = GSR_int;
|
||||
assign (strong1, weak0) GTS = GTS_int;
|
||||
assign (weak1, weak0) PRLD = PRLD_int;
|
||||
assign (strong1, weak0) GRESTORE = GRESTORE_int;
|
||||
|
||||
initial begin
|
||||
GSR_int = 1'b1;
|
||||
PRLD_int = 1'b1;
|
||||
#(ROC_WIDTH)
|
||||
GSR_int = 1'b0;
|
||||
PRLD_int = 1'b0;
|
||||
end
|
||||
|
||||
initial begin
|
||||
GTS_int = 1'b1;
|
||||
#(TOC_WIDTH)
|
||||
GTS_int = 1'b0;
|
||||
end
|
||||
|
||||
initial begin
|
||||
GRESTORE_int = 1'b0;
|
||||
#(GRES_START);
|
||||
GRESTORE_int = 1'b1;
|
||||
#(GRES_WIDTH);
|
||||
GRESTORE_int = 1'b0;
|
||||
end
|
||||
|
||||
endmodule
|
||||
`endif
|
|
@ -0,0 +1,504 @@
|
|||
-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
|
||||
-- --------------------------------------------------------------------------------
|
||||
-- Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
|
||||
-- Date : Wed Jun 25 03:38:25 2025
|
||||
-- Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
|
||||
-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
|
||||
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_c_addsub_0_1_sim_netlist.vhdl
|
||||
-- Design : top_c_addsub_0_1
|
||||
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
|
||||
-- synthesized. This netlist cannot be used for SDF annotated simulation.
|
||||
-- Device : xc7a100tlfgg484-2L
|
||||
-- --------------------------------------------------------------------------------
|
||||
`protect begin_protected
|
||||
`protect version = 1
|
||||
`protect encrypt_agent = "XILINX"
|
||||
`protect encrypt_agent_info = "Xilinx Encryption Tool 2022.1"
|
||||
`protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-2", key_method="rsa"
|
||||
`protect encoding = (enctype="BASE64", line_length=76, bytes=128)
|
||||
`protect key_block
|
||||
pOoCy2lYSLdoKW5+30MbT0kuTiRSJJ4jG7/RQeB9eRVWQwL+y1zWMiA09vc76Tm9Rm62Cxs2gO7D
|
||||
cjnDEBDTj9JD9pG0UZ4qvjorK7qe6ttWdmBnoDWg7u86SxcI9hFq/+WSIIz2nufv18Jw1CdSZZOy
|
||||
fCT9rx9380uRhjUSqF4=
|
||||
|
||||
`protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa"
|
||||
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
|
||||
`protect key_block
|
||||
w0+6vlnZpk2rtsD4bdd9LWwLOAaGlFa69N2rasBQ5mfULBHdtMEL9pZ+aHU222MNGvYQsqWvMFAX
|
||||
ZesYGDQv4e1JRb1BpT/jMo86E62GcoY5Sma8x/T4td4zjf0tiKaBP1Veutb3G2ureWf4YyEtS8Kg
|
||||
0PbkWpeGMRgAOQdiRWzcZPYx3TUExmrrpy9HrfwUmeKPRnrLj5W77hmCzmmY/53V9Md+OUxZ1ud9
|
||||
ra8U2X1hpvTj8Igqf7zkxVLE84R1u3R0xstKE7AwHtdQLmfOOTdMaIeA0cXdV4Fq+KbQfLKFpCch
|
||||
DBJGJEKdw9bsv3LDnU2dwusgZdqMlPyniUhHIw==
|
||||
|
||||
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VELOCE-RSA", key_method="rsa"
|
||||
`protect encoding = (enctype="BASE64", line_length=76, bytes=128)
|
||||
`protect key_block
|
||||
ltA4GqALLdRzzHvj261Uwyntak7z4EkFduT9K3QGtHb0sATa3ybVqK8ZI4jQfsAU7X0LxSWB4LoB
|
||||
EFa45I2fE9dxusPz3iWXrfp4gx+koM3MHUXxrPW4jG2EucHFZqktvn1Le6uXQTfIURspnbJdYYLN
|
||||
gfsKiiF0MyJaAIqneyk=
|
||||
|
||||
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-2", key_method="rsa"
|
||||
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
|
||||
`protect key_block
|
||||
FfEP5FVX1Ax5kxu3ZOO1vQ9h+VBDpOGG5H86yMu1QcxnGqo9gnUM01F3P96BdUFjnV725KGMIQni
|
||||
FqRDoY3T9Ab12xgASphiapofR3LD5psN/JEAIwqfr0LAp+qbJG9vsC++s2ZWr5bT40BWrvc2jxSi
|
||||
Mi9YGtaFzL/QmiZd5c8rctXA06pFCKZTPrpVbHHVLIxt3wRGSfzPS5Adi6/wI6QDGsNH6a/h9Rtx
|
||||
LuJWu70Te3UtGxU4L6xsNyvbBZ4CCFz0dL447PvB0CTDucB/adAFHdWo0DhlvfzkmkoGEAhixHmj
|
||||
AoxUm5dvM43CGcaupKLSyc0TidiSEq+GR7l2NQ==
|
||||
|
||||
`protect key_keyowner="Real Intent", key_keyname="RI-RSA-KEY-1", key_method="rsa"
|
||||
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
|
||||
`protect key_block
|
||||
ZQjvUbsJRfCx35iNqwoFQmy1CIf6Coiu+UjavEdAZwIWsKthBwuj7XZZrMuAVlWaw7ztRQITxIW9
|
||||
joM5AKjSyOnQP0f19GFwzJf84AeawIF3W9rKLzDq3SOmO06CNxp31zfLk/c+P2Aynl/Jtva1fUn+
|
||||
ZF04qq8HtC+QFVbXW41MZFd1Q/xtg+Oldf7hPUXUSbzn733pCcjZe3zSpulIMxkV5fk6YIh0+pJe
|
||||
FvtHzhcQPf+iDQm82ToezAiqE2VGuquf6pVU84b/8tWxFyqvKnXHdfXGLEBP/P8+ifSgyJsyvbli
|
||||
DuFRBrF+POpxQ584I5j7CL45AdM45WR9270K2w==
|
||||
|
||||
`protect key_keyowner="Xilinx", key_keyname="xilinxt_2021_07", key_method="rsa"
|
||||
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
|
||||
`protect key_block
|
||||
I8jEzvdjBEX5gYqZOZMUoavSPVwkkhEzNXKZi6Gv+UZEzEyUPN1l9Jdmm/OohZWWJebb0ecKEuTu
|
||||
VzUnDYkQifscYwKLjT++bhkfP4fHR6IIJnlOajPL1U2absc717Uq65HLaP0ISJfczzo4S5Mq4/hU
|
||||
Rj95X70zIG4pYiyaOllA1V+RNsA8rd8f45qhX1XuzYpufo4yOkEdXFfuzY1bDq2nK2OMRH+4TOXw
|
||||
XMXsCKqNTpsyaEsutNj+rZ7eONFsaOylKo5ofdpJ7IxWzO1aaCcYaqmbNCpkUqe5RQvLE4FuE8Nc
|
||||
kmvAvUj3g/pJm2VGGdJ2nOsn2uyD9ptFCVK/nQ==
|
||||
|
||||
`protect key_keyowner="Metrics Technologies Inc.", key_keyname="DSim", key_method="rsa"
|
||||
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
|
||||
`protect key_block
|
||||
SEnqVTEa7lkg72h4bpTlKL4fhEuzRqr3rTaNC4OrFZR9Cmrlss8ucbtz4kUEBRUrIzx8ZMZ08aDm
|
||||
ncvKMpDrsOi59zmzvYyoJ1TK502awdtp+k9xaIVQ0qsM1TxTMEiq6w388MnoJjwOZ7BhuBa1GHgd
|
||||
Dx/0z+9+rmVCuHs+HULZnwjMyc6gfx7LRVbLQPb0S4Oh9i+rXperDiv5N7FQeNdfsDeRCLVRB8U+
|
||||
OU60liE0nqP2X7bte91esX0nspE9bMEb88nopvRxFTNBXG/PoJoQvlFjrUXWbVELwUtfC4YAkcvq
|
||||
eB2RVDysb8DuzJ1PztoiUPf6FAvw6WhfDouB4A==
|
||||
|
||||
`protect key_keyowner="Atrenta", key_keyname="ATR-SG-RSA-1", key_method="rsa"
|
||||
`protect encoding = (enctype="BASE64", line_length=76, bytes=384)
|
||||
`protect key_block
|
||||
k8QHc8h8draQ9agAUUfM6s9ta/pxWprdP2NhBlzOaFB4j4J1MHoYvPuMlWY3IJ+53iC58d58V0KQ
|
||||
DSf99XKI/DpI43M7z3Rgp6WKRkU72yQMB/tXQk3sQKHKE6DVb5qPkMC13hq+bkDwfv5JMbQX9lwx
|
||||
tWwloAcpFCR03nGPXqH3V+FDys7YFNmWUI7F6BYxX4W4/4feSosYPgETUP5gLQPnK2SXr1PUeuuW
|
||||
7UIFcKUwBjx7S6gYUPq3hlO6AFN7ync67eZp8N1tozzGnF23XCk7gUU6srDt2QOWbA0sBL6TTsrI
|
||||
WW1ADpBsIdstAGiZnMRRGDeA6rN2JiwulhuiWHQAuKSkUSbuIT6uPRmlnd31uhSh7aTrvogfUJSz
|
||||
uGNb/TAHJkpHm4yL40iI8yO7JTcwLuy2ahdpE16AMDfZJFU4IGouo/H5+p6SO+jvzHZYkIWZusPm
|
||||
nBK++GQUzk/PMlSRT0cCTnxIgVAFToP96NqnZtn2E47iYToxig/+YKBy
|
||||
|
||||
`protect key_keyowner="Cadence Design Systems.", key_keyname="CDS_RSA_KEY_VER_1", key_method="rsa"
|
||||
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
|
||||
`protect key_block
|
||||
LAw56NZhBnNhisjUaakOb87L4sBFj31jlxTUnVSf9FfOIV53do249b3JPp4K8xGqQsYrn2pUQ4U5
|
||||
FOdo/y2m6aKiAdn9UA1Nx3HHK46586WxtcSd74xxYlIKJMy+xE1yeJIeWoC/+HvDmglnTzt9aJaA
|
||||
6XKocO7esAOu13fafAa/D4l+73oqYb+v1wIcK34mj43NqEWg+qxUVG5vj9kDrx0l72qkTMtQeCMZ
|
||||
j9Rr2RJ9uMO8H71F9qVSbAIun5zjMh+cd29z54TJZTanzFEdpk6UmY6Fopv5VuIykHN10J8fpmUH
|
||||
HEQQJDGCCq33NL6UiradkAd+1GvEk6iYZmweRA==
|
||||
|
||||
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-PREC-RSA", key_method="rsa"
|
||||
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
|
||||
`protect key_block
|
||||
moXqT8iZFSenaCnTT0oSjrcyyVRn1rIhZFFN1nGO5ZGwERivveAB5NjgT+n7pp34OPvQpO+9gK58
|
||||
hz+ell15s8iHrEgVKVmfSkmyiyo9a/ceM7hQTxj1vTa3AnLAbLuxmmfMLXb/zoukvOwOcRtI0XGk
|
||||
rgfijvE3BMj1CEEOwoBCZfJviMa2zgFS7ODlAop2eXpTXDuK2Du+76J/H0VnGwB+9yk5oxJlUrUT
|
||||
+HQxAUXrqy4s3ZW/qQVSm5yNHmPEP8Gtom/ZrvBIPlGWP6Q+Nyr43fB6KjdSadXY4ie3M15E4i2B
|
||||
EF6cK2QP68kwqBmkd/N9QUR4ogtI20OmJMlRJg==
|
||||
|
||||
`protect key_keyowner="Synplicity", key_keyname="SYNP15_1", key_method="rsa"
|
||||
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
|
||||
`protect key_block
|
||||
qgRUKukpvIPWp+mTXg0FUfGPmWUjF9954Eggl5iqcE5AS/+MqhmUGZCd1dunoqSDcgDwRw4ixrRK
|
||||
cqjqx4q559J874PrON04/ufJbxAkCaH276sBQ/br+JTFbzOXo44dhD9fX2fsJ69X3Eufr9NDqpb/
|
||||
x3yiYxjejt4JQPsavqHjPlgd937cYZmQ54oqRFRMIK8MsMQWwWS9XIH7p7unCVLXdDe6NjRtnIFA
|
||||
dGN5YDVzhSNEGM/CMhBr7whKnzfp/R0YIKvZllXTZFNHiNZCXd7NZ8h9N3WykRcDwZyqEQE6aKy6
|
||||
dr9FGqeI+a1Btg2zoXhlaZaklN5iNaFZj3UEuA==
|
||||
|
||||
`protect data_method = "AES128-CBC"
|
||||
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16272)
|
||||
`protect data_block
|
||||
OldKFVCyhxQqVXESlryk+61ctKPhVP7PvNZOIhemQ01icIXC3/8N1Sc0Ha3Zt8nErPgtqzIo9VZu
|
||||
yIes4V1KNymUQkYajmZPoEpG2wEAG4Z/CQalM/kn2HaFsf2qLffDS5MsGVTUzO6cZqX7yKtaTgqw
|
||||
wGYLoFppRC7kmCwUB0AQVoxVZvBXEKWkh5JFaSkYZe9mPw7/Fl8+a/JpWYs8+kOdYkGyd8mE3Gfg
|
||||
T3DsAUVDmikmODF6zM5PAAbJS4kNRgNIB5C/MvxRmenIt4gIorIn06rpofVseZtl7MLLVkfh35no
|
||||
bIdSI1EfMhvCcmfxNqAzOhXPJ59Fhqd2umplJQY9lVcJm8tDkdBQ42fFKxRn0iYihOB2bxx3fSwG
|
||||
AKeDqcSajtpKfpYy8LBzANEtMPGv4hHHa+LqRSOFx16SWSOA64cLjVXyLt0BPbKRZ7bgAuNE549k
|
||||
xdb1kZiMVVZd+1En+e9XnBxf0NfJNJeOGzlJpLP0u5Ckqfqh2MqH2V9LCkAlUlGinOeiM7XH8wwA
|
||||
F4FRX0grZLStb3i9jnjymdcJjs1dDLDw3s2YS02e7N2myfaz9RQQ+6Yoie4ivdGndfZSy/kM08Fn
|
||||
f6D5BkYTgJCM4dDZd8deouilivqqtsyEkA6Qwa609iMHE6Vqh+PUg1Mu86wWYzZ1YVg98jdiYJbH
|
||||
mVp2yW2It62gL65eH+M+UcSnmQlNXzuBmHRmu7ntyrn4w3fRLyxU+kXmX9vmZ4fIJIdeU98bXOXs
|
||||
v1/sHc0e4YIzH6hMRgmRbLO5mpULBPrPGSMSuSHNbkMJbAhQN48YBm4PnqG3TPidmY4MxHrl4K3o
|
||||
5dK9mCceOZELESWurkObNNFiz9Xa5fZeGXT8ZYbDEO7cENEqFI8ylLOWDjBRrMELojGTWX43mePD
|
||||
8C8HeZzNiIjOnLIUewReaYgJpvZGUotP+B+GYHLGFHXdlYoMe8IBla9HBOdoG5G/AxkzHHB2cL2R
|
||||
VgTcLH/Nq3OI5A+Dw2YibM6bgX/lJKAXAveywBcvuDpnomVqWkG8Gz1IcI2KQqu/2E55zN8iIWAN
|
||||
r7SJDqKDLxNVWyYeeuYZx39Ub3V24lU9TnbFpfj8KQOlFL0a5CpY2mebqJjWIcbQb/kXez+ZLaSo
|
||||
kC37s4w45NV5aass4Eoh1S2Ofil+Lg9Sz92CTubf+B4+qVolBynlolRjm1O3jPgn0d+1kQ7uHrbk
|
||||
7FwifxcoLb1pyPM2QIDWlBuZ/fnDdpf5Hj0BRSm8v7pxcgOgu5zOOk3rfmoINIRmmc1BfZ0iXbbc
|
||||
7AZ+dKmTiIcec9KbTletJ/W6b0i/eFLrZx36quz0TxyXV0nczmYjf9P2+LjOjICYx4Er+z5pdv96
|
||||
btWv/hnjoGK1UkdjkOdzMzNn7BPg5QHHCcWekqafBULfLliX/+H7uedivokNmEdda9XyrbDrkqND
|
||||
EBF+4p8T6spzG6qYW+zIzp2t030Lqtusx1UOuVL1dI5vHQ7WTFm/37Wfzwia0I6RkeQOa2vHoxUi
|
||||
1g/o75/hz+Y5vQ0Pl7v/PSX0UaMYpRzbSJwZyHC8jD4rb9M38x58bXVNjrwGsEA/voP60NLhUfrh
|
||||
jS/kDkrZ8hoc3AeICtfCSR+0Uh4qEzHeIjE5F2PC5sYHOpue07Ghs5Qvh1dECM8X56U1KkWOLUS3
|
||||
1fdMyl6RvLqX9TcmfEB2vTa3x/78AhJqDOTsO1s420AIPcqF/itJwUQm/MoZmL5cIV4g8Ifk2i3b
|
||||
F+ENQG6oCDG4flkvxPk4fptulsi15cWO5wKlRCVUTvuNvqJ2stVBVeBvv94MVfOQjnHFnWqWrxiY
|
||||
y9hQViKhjgHiO/c0KFqWQZGQIxWXT8BwhJof5kmscFv47M+nw34sJYFcMvWoYo1f1unDRVc/DunM
|
||||
ZTcP0pytjGJ3HGTeCdW8kOoTs9cTquCro5Qixrxx46Ds4CwU0jyCsZeexZ9XVC/6izh0k9rO0vl3
|
||||
lzsOn73o9a2hR6pm4QmAyl1lBzSioqyzLkNYuSuWe8+6Err+lXDxo2hqW4Nl26kTDcF0qSqDVPZO
|
||||
7VVVQ9TAT7KvL/kKgLLCu1fGk0FH3I9qASZjiHvZll2StGLFExcNhlAP1LNEKIMIifEI9Len6KvR
|
||||
3cYRhrkR2RMS+jbvzme+IpgAdjHG7OzkWLPnmsancOkjqMw+cRt6h0NrJUaiB7t555NsMk6c0xVm
|
||||
nzfGAIanwcXqsHnbkBHZ6YG/Z62eklvhEF8VwEZnMMbYq6LxmByiKJaQzaemk/pNC/4I9uSHkiCA
|
||||
Ir+wouMgVg5Apfvc5iDn0Km1GdHTNjFAtKvRLUEcyY0As+YEukF9/schSyotFoMacylooi75uEnQ
|
||||
ra6PS+DQyuMjkzf/itz3Zvtl6RGxBiIoUHLdqgN4SyJIjG1QJRhh44eK2V0eijk7LKKL7KuUAYEn
|
||||
I0CEy545dC2a//hXz3b+yRy7gd6jwjx6N3Ti+njkdYa941duIZyKJelwp/QYmgdcNLmIH8j69MNh
|
||||
NH/4Bvx9c4qKF1kIYDrGA7BPtyOQClFG6MFg1mRn5eJnRMkR5DfmfmqXMrspE/cdbLmYrxsfWii2
|
||||
lr3r2CmlxV2JcFnYkqtqzZxyFGLvAeu9c2bwgSH7XjHR3YR5TKbeOlduOwOce5xPtygywUye1CTO
|
||||
c06wxYFcXb3pdRVxJ8NiQaSybF2vnJJNqmxG4uq0wg9G3US4ZqtMRdKGbv+P4+oinNkmP7B2+RCC
|
||||
ai9lnmvnKPsiiUXq/oH4HSvUZg9WG4EoMq7/of2GrrdT8y7ZM7PmV8IdSBvfVU2DkVXmbTox8dVX
|
||||
7V7h0J+TRgO089GhvwPQ/J02zjcCYStj2RGGZ7y96SeiyvY9g+VGKtexiIisRGLgGSgUKNj05HcW
|
||||
kow/tdTfnTyQCaor8UPC0tLfFNld9gMMlagO0Fpg9oLEtTbPuLZ466NkE2Wg16hD3lIAmPrxYwjx
|
||||
8NLRWU6chDFIwQ8/At8lAuxf7bo83Bhz09Kq80dEPusMFSAkIT/nzAkdV5h7Kx/puRPijDmXyn1K
|
||||
c8+ZptUJArlqp0qArkfaVkXgnp6McGT1vSjDZiQKY115d6wpagHlMJHty+oiwX1eo58MqOpmrKVT
|
||||
Q9rlnGRlKJ2srpd1wUYLsrFKNgld7wcQgV2qZsysvPLHmJttkgi1OaPJX0vJVP0iaGxwXCbQqZ4o
|
||||
IlO/vHRUFT83TE/db72px2kPRQ7pIke9bF/zi6J1PH0HPGZNEdfkueTH+y3jaP/Psd03wYZHWgti
|
||||
5IJixuPFw/6ZdsDhhzHb+UKvGj1Rnt7JuhpFymdrrvAHkIrx2yBjxdGDosABDpzn9ideYAVEPgve
|
||||
kzeRqFodKATiyPHhVaEfwNxZBMyOyqqhDSxYXjg1hYr+GLJvRqL5HmBs0LN2gHiINvEwF+n+4J3J
|
||||
JuG5CcAkK1Wtrax5O9/CrrbkFeOBNMjMIILuM+rCxbstb1Tzfa6ac8dkUWLm6+kifh/J21AxrCXp
|
||||
AB/zRWidDzt12vC67glbJHT25fkuvc5JYQ8TiZsRv1t7vCyHpbzBWx0FbTzf1r+2EqhFLhrO3s27
|
||||
TtNzK3gfvl4n8MV0HbC9G3ofth1tBNo84+K0W52fl/EqNiHMqHEXkcfFGpTzsumFbudf4Yl1t1RO
|
||||
Q7ensGVAgo0sIZhXOIuvqmEHQgPC34QZDnmBmyfbXvLwWk8SA/QKn7imqXoCwkBkNh9+4Qrm0kEB
|
||||
6RLlul6+/sgeAodGzSaczQ5Bu0DjOvRGpoHjcxxNoghd/JncmV7mdG80GSCNYdJWdvHq2PYH5FjU
|
||||
T6ZCMvwW5OZFiC7aENadTVSa+lWtdKjFTwXd5xVpGgqZxg+8Oailx5Ohjk24sP7NKHMphGpWqlYy
|
||||
x+HRIlCqzylonWiIGVZ0v1KeNHAleTJFt+Nz8dNM8ZkIV3ElHIQFKWiTVWn+6KYW3L6sCQ0bCiQb
|
||||
qtPRulRlHeKvmvHNN4A0scsfubn0am0n6fKsM3z4BU6zLVEh8mfkgXC522eKdQqgAbboYY6nPvXk
|
||||
2NSwd69I+OFPQdsN1UoIo+SpXoKZ1v7NIxBIh2YwEp9g8SshpG1fMD22Ppg4tjmLkCU9YTT90YrK
|
||||
F+MNaLm72pLEysU1rGIb6S2MbEFzgtxv71s8eHEU06ydIHdhRNWtqgkFtL+t6eykiqE4GioU2HLu
|
||||
IDH3MOL3RWsc5KU5B71YW4/sO5B3ZCh7XfXYLFC8sJcbYa4p860l3bs+er2vhwVMX13l+l94tJrz
|
||||
sDqB8bo529tk5OZvLfkuV2ASCQvo8WTR9c7MQfcQo4bRfaa3GnISEKc7qv2Q+EBhVx7uVk2dqpg7
|
||||
heOugzj0L9+nN8ukm8eb1Nou2vJe5Z+3Gz1G1A26r86c5ZJdMtgiW1nzZoP/MM8cY0nj71dCl00g
|
||||
I7NIEO4mhSyZnBCImJXnXocagYqzZdrYD4RoY3kjVXj/KJjOu2tecEeN4e1xK/tzwzir7IMeOe92
|
||||
ih2p9L8LIaEMweq5Avzk0obXhjkBBpR6GdkZ5UhO2CnaS5mvYOZZ0tqcTHa3lRL88pnrDUt4yQVm
|
||||
wA/bOi0nv5srBuq9JmYco4XhBuyoxK9RUtXPlhZ3uiY0wmMjdtOX8gnXbj4gzN4vmCdobI3lPdzB
|
||||
5U9457UuV9WB7mxx17uaqn/xtcaE7NKScaveDrhJ1IafyFOaSJlnf2hgQLjhAyQWMnl8vi6bhoEh
|
||||
fW5BY/c9Gic7K2KOYfwyfssqpbALMWMarbxSW/PofjF4QPgu+H9pAmjEx0xr9o/EcxjLl3TfzjsG
|
||||
7ZGBkenxtCYBmgovLJURnFvvr2r5rr27Ig9j3WGjTbyk7o23Mj4HQtfLUUTvag9SLIVOypxKmeb0
|
||||
QIsntFkissV55QUGGyeh2Fhhn1MxFFdmDznkKLvvaz3mamVB9dakpbzOzYMe1H/VtNzfazGXMqFl
|
||||
+B1ix78qNjgBcozdi1AhQWAUj3DEgioArXIoN23aIwgiSdpVpAcc8dwm28zyPkbnM+KOPAHiZjen
|
||||
eyxEPbnBiOvkPJZCG9n+dv1ItLjoPNykX5+tEX9LpQzRg8oG0G+FkBjkBnrIHaDve0olQJekcEjM
|
||||
QyvOGLIhBGRZOHu1ONherp2lqsNZ+Z/s5YmRwPE46yFK9pxku0zaDVeMmQgNW9/ApZK0QOzBt/Z8
|
||||
9HsIlSV+G63iDfDDUcOx3z1jPb4D6O+sIzWk5FBdLNGaQXQdzth9wOGVpDW5IpMSkjyvg0++cGrh
|
||||
vlAgrrQ8ZhWTHiSGARXK+MfB73zRZuUv7T9YRJMXDHqvfxp8Wz1YwLV8LFlX0R0ZqxPdF8WHnLY9
|
||||
XhZzy1Bi+1rwd7a4sQws3id3bcNuOmEveFT3g17k9UGJced4dOWKXH+3DLdIfmzeq82E5Qxo+loz
|
||||
Ch+vdX7pIM+YO0CbO0GQ0REYNTyW/TqxfGoBqatF+CvwNdwBRBqP6667+kKlRLWkB+QLhPrcGWJg
|
||||
maiIyaGQRpCv+Nlb9k2RHoF1DNBj5ienfSYoA8xa1Wq63hvx+KKZhp00xYMrqC8f0G7nrfv8Y6XB
|
||||
pxeEK1/YZf5ii+s0D4/WDzd55MHiH1dtB1/gcB4HPsFO9suqxYCNu4BRPJsvaNjhIKrz0DuFarbv
|
||||
3CGSojG33bMLUpoQGySuzN4LMoUNzFBXfUdJYsEKmvI7l10wdiBd6UAdMA0tQNZxXU1tsjRoQVKN
|
||||
EegOGYMmxF/STvJw4BDe7ORN3ZiIVvqNg9FIdhd/r3ZQHqdAFGEEe73o4RoWSWjNA3HiFtSpLL1P
|
||||
0tPcSfUEKRFac4WcbJ50rUsVmmypLBv5pa/mx7RJ3F0vjRm5aLNESCF+rmLsoYCv+CH1zlBf6Csr
|
||||
VaxAbbt9AXnMqaBqr/JpqEt83qo/wr6+1avH1XKRDe2YH3FPQa3Bk+qe9GB7qNfqKIbF3FWyHU4U
|
||||
dtH9q2spJtSgPyAqX0aEOK364qHp9V1hs7mQx3vZ/7usSmkSleUutnTnO0FeR3r6WMQaJdx79yL/
|
||||
NjPAqTvNo4vniBTS1yVHAaPllTxfpAhY+KIkw8I3gq3Lvb4Yz2UROmKsF89S4R5hL0z+y/YTMx8N
|
||||
eKvmgeiJTW814GEXSEoHN6d0bK2IfYeDAKKVHmIsNEJXKd4HWMeL4aZSJqyPkTQNoR1g5EdkFkXR
|
||||
4CWaRvnklQOI8dxbpXc6coD09kLCWKqJi0JBpxa5HPiBjQ0JLr/gtCt5Wvo99I1OHSpR68sirL8n
|
||||
WNaU4JfidpY1/CJYx9BKOUihUlhUKGsfCQIu3w5zbG1sAE/ji13rBw9GRHwdR7rJwIpf3Z+tNitx
|
||||
MnS5cPcC74X/ibrLxfWvyfcJ2lvQ6Qd9Mtj18JaDsqtLv6soBh2iiZvUw9hX8sREFdMjlDgu7r3l
|
||||
3HsPnzUZLiCtv4E1f/RBjQsnmb+bz4R8Yv6bPbx43XA3R+kBzp5Wtt27nbECubjADb4C2MQsxQ9j
|
||||
T0swc2AfQmthsXWxWpNEInJuXaqWQPxIm7Aa/IpmiJBmw0J2VXJEkwAziwl4h/tFISg4oBR5MJqO
|
||||
cEcSMuZ/iTaNrfNF9p6CDy6sloJx4zpd7lgdqqn7SfAfFH5om8eARpXZh9nLpsLtdi4nyin9ZJZZ
|
||||
OmN34QFrNT648fdlQ3TCzoNaEFOaW7k1CIQrOMXZUvWX6yJ7vnX1XSZ3lnabu+MPsvM690GS8I+2
|
||||
hTyrI1Z526C+6i9gEKGf+xeNlafb/GopXsgyv+SLtNrcjVXuNnljyaM7fr7hIT+yWVGgT9ZD239G
|
||||
R3TEz8KSYTm3g0X/+uw7PPQqzhSn/sWoLTDP4gHukM8RdT8z4xSdmwREbUKptORgL6mnMfXV/N0l
|
||||
GD45ZKpvxEygv2A1V28eYRdqwE86Koopv8o6/WXIh99GDTVnj184xRzQDiQyPu1p3ZI1CfNiwg7P
|
||||
MU3DmBO/EkIWZknH7R56pz/7kW8cC8nVWxjJwR1GaF4E4BZbpjC7DO1jx8vDfLOMtnznBHCQrzVf
|
||||
cq6oeWlVEUGDxeAJTan8vinW8BlMns+31YlYTsmfRZnFIzo3TMCmdamh2Opsfe6P1tZrkTFe0J34
|
||||
q6Cl9TvTbWYtflbrG6+aHaIFpNcY0wGySk0yYYPgdEGiFN+ecUcPRFcXNEQA043/ZoIPQXPGVF+0
|
||||
c6JnzNLDWRMOoyHZfAwPMyuGXc1PNYKXkPWcjatIsRGcz2ehbAH9N61fMF5vgroFQ5x0xRLEahpH
|
||||
pkRalDvFk5SEAfWUjeCi+2djd0pIQM/CPhw+NO034yhzjt1x9hcOL9rm7qkWmfvtYmFsnsRfSmsw
|
||||
cWdUnGWLr2PZM+1t4ImFw3QSYLD5IHDQ7hELk44ZLAzu8Qo88tFcle26jrW8zoG5qLfZqNL0wdAO
|
||||
tQwIrI1pNchwqzfTXTOpjcSKYGkTcUae6Kz5yod9RTeCAwNe37RldGUlI0Z64ssmQWI5e7tvnaYA
|
||||
Q68jBDm/XCBeiDLWyveM5LBRez3/XiUsEsa4Y2tbOj7PFkAwF14Aajzej+l39kv0hLXKWqig+58O
|
||||
K6TnXzO1k+nOk2Woyd9n3M5dAMHomn/2Lpi8cfZOcg5U8jTmzwr7xXnZQ6gi4XN3D2uLVuuGWZVm
|
||||
qVte0/ZpmrV9fYzUNCNsW03nFInpsmqpLb50i+6p653LmB0CGE6XfJwx7Pg++6zDjieMU360sBRT
|
||||
VN24J1cDO2fTTKFEXpBJiJ+NqmJm4W3TS8VNQ7szXQx8kC66EJHjo5Diw3VxKpNVW99IwwYjGyck
|
||||
oJ20U9MXzlPjInDgkk9jppubQBJnC9rdELUS3UMsLXWa6/m6dft0HnktKph+5iyV+Cqr5p9sWkCD
|
||||
EYbuM3x79PhzaXz3avSnn8itgYKyjFSn/4flJ3DLlFt7MzUCWtXG5Jc/pZdYYIJ7fS9g4fc55L1y
|
||||
W2xwbxOYyLg1OjhPkbw7OIdylbKwG9cgw/Y8vVNTTmBrD82SLZ1YahnIQjbLtUqPGuiHB4RoMF9j
|
||||
BKsAHx8ySgFFYy3x0xsWLlY59Uf+7HU32w7yMFt02VblrOFGCOIeGqqWfr7h5gu+1BodvjQklcjt
|
||||
X/yF/W/6ZVR+90X/hkpPbFyrGR+gUTVwGJTk4udg7VfB1aniWrgNndmxavgq1rV9Y6q7ehDOaPCK
|
||||
5EUT2kxdb2x2QdOdvpDTmkwsE8q7OMNpd3JIfRvQIJLr4bRJt2t5yLyDpBzWv5XadIvxFu9Cfopp
|
||||
lZIgMZ6mRRvUNPtqRsMcYeDzqAy2bkyR3D2C29OWyT0A/9oETPPajnma6CQ52Oql959petip5yRn
|
||||
NRobvnmAuOCJ/cBMtz5eLn8DU0kE+oj0i7+VDW3U28y1y/Jkul9Le1bD8Fx6zANSKAYQvUDrGQ2I
|
||||
j0OKjpis8rMKqB0nRGMb08a+dI7b8f6QFX6Rd7gx8gq5qRcXYXMp3MeNK7wg9nQASa15WSf33Ncp
|
||||
6xBdFamja7xtJsU7/fStUxlcN2EE7I7WtAE/+6A8tzC+geh9jhFyixUpgVIu4jz1IYQmi5vs75YI
|
||||
A0Q0zdzww0sDtYNgoLJvX77wzhS88fPkSfmoGFZZD9V/Y24f2a6P6UsZxRPeKVfait21PSFuDaFb
|
||||
5fiCLhXFOlqskbzwVgoDTN4aZRW9YMS+05OSwj+lTnb1g0YBkT88+VxodY3VxTdF/ljvdhOZPKFV
|
||||
gc3xzmCRxyaBX3Fzo5TA7LVNoHu/BMrl8hLnTvlHy2w42dydLYKu6rhpQJ1Hfb7u6PN6T5j/k0WO
|
||||
DC3kP01IAr5um+TX6wlCeG3tPY82ag6s45FA3R/yzuxlI56A4gYE2Jbuwe59wMTbRNYwxF/m7dDl
|
||||
kv0Gd7eAF63yUiJZQlxJEes0+9QDJwRtjEffeaZaN4S0Zz2IXf7s8xM6Nn2oVRJAWQJg4HOd8yjz
|
||||
f8R5BGeVdpsF2K+9ERvvWra/qFlrU9rPfeTf0mySqw4jJ/+4dV9KJCUC+fo72pl5lQKf17M8+oa5
|
||||
Bm6O3cfvu8OU2Ck/9ynuq/JkbvFBKj6xFjNQYDgQ/d4UeOy4Sf46I9wE/EQufYaD5sHcJ9Pqy3HC
|
||||
ExG56XFuDltC1AgjZbhMWEvnbFCu3vJqguZQosnOGMpggriO4nyOlGEJrc4RIrj1+xqCyEQQ/Wpq
|
||||
a7nzUhwgmL//lkSatTbnZeHwtUVnLqBWc4Q8Lx0UL/VFetFrH0XZxTjUbVymR8qpI3YCP31HN9le
|
||||
LwFXxrRVd9DlGZWwJOpbQG8hLh7l96uvaAioJG8B+wWUKvi5gShcrqQ34AibKUBgsRpcE/0TC7of
|
||||
WBydYn9ChO50jf8kvIZZgoflvimggQGlwMQLiVJs+ImdxANDXTcIuF3SY6UI2o82aXlBPdf4j6HQ
|
||||
klgtr0nY6GZtUSmo0h60HYdXz3RYM7kZV+0UDLKgWGmSd7oCEYhBOaOu2DngGp0Vv49cPNDTUpOG
|
||||
0X8ONGA8ZrOg3KdeJCTcsqUR3LTlorJOGkeXbxScNH1PxjysXFtFeu5bkds6ag4tlUJjP1YWxJJi
|
||||
YRHVOYaoxHZh9y1L74LRHl93FH0ZeBLDjpfb3xvjr6CxfRkZsebz9gwLEQEfkns5gm5Sd2A3zSVv
|
||||
q6WhhtCdKts+oBSRZv22+pxOUaU8s9XBZN19FVrVRJZ0K/KmwIhAe/LGDaSMdft+MgEYtkMK2BNI
|
||||
26HFtMdZ8cNi9jKOim1tT5q3d6OXwA4b9cQ+1CPCku9JpcKYpF4WB3RfPPPOnkugiRLo3RMtc801
|
||||
F4Bm92WAx8AYXk4NXsGdvrgRGW/zjGCI29IvZZ8zlbjVtjtgJCElvi/9HPGC7YTrCPJuQiHM1fhE
|
||||
8+0SXGWWrmK8RWxf5HhCsigJfVsNz9x0e0OqOo74nCmMgWi52Pq+OIKytYon78Flo/4kMM18hS04
|
||||
Ck1MiWIt2O0ASTWwICDbONSLzofMgFSoXcIRUOzDDUR3T87hvIwwQl9+FMWGDWiAgwCIXRDyIE74
|
||||
0HotgLVYAnzfw+HAVLLXDAm4OClIn96BRjWdMm8167nLf5+NZmKu9QcBdNcXqcE9wp0Xh6PiBKvF
|
||||
HTlfDIYaYHYJ/kyD8ll1WrivQEcd5FKc4iHI3+o4fNHuJ6+xYniw/ngP1a2WClgfpDRxYGSJOFFa
|
||||
eipOXM8/yLm41HfdkphtKNvqub7erBucOfzBrp/q8Lwus56N2whI1Djf5wOhq5ybdhWoJJPXiXwq
|
||||
ih6lp2duIGGNx9TZ3X4UoOBEB0ZkPT50oleTog36Y49aaOxaq27jnbhQW8+PPv5VO0/Ozrbp4QvH
|
||||
YKW80fxHiJymuv9mDrZJ6Ku6TY91rpEcdlOatOGHFgl8jEaAi3ijGsOZMwfuZGCM6vqsjti28MK4
|
||||
YUw62xSCiUgjfYrbKlThilp3dMK56aUuFSMXyeSUw4Z1fg6uPA1wYu7c3N3ifQKNyOXZ/lVEY46O
|
||||
AQ0jnjIrsz3NBKcR/eaGztWkfT+O40TNcUikD4S2lK+ZUtGXvZOVSlwa8mZeEXM+BnHXPtJ0QnDN
|
||||
pBbnbGZVqQgTP2/5hLQtxwHoR6kaxW06Uu/PRe7g19zYCyy2owKAD6x9+DPZfl8gT08RYzMrwWqC
|
||||
W/AjjoIVO0NHwZsBG9LYbxA9ehimbsC2B/9imWiSaopPjTIjr0tQORCKVlPz0Q7aOwZdxdLR3eiL
|
||||
cTpJ8MZ+XQ42FgA02Xj/Sy5EEpxJ0sgTSS5PHU29C4MpTE0pf2BuhRXQ4YFpuL7SljahhHV6vAuu
|
||||
bMlBYNwGc+Ym9kFFkyJn7xKZ7cJMes4UW6EuftrbANg7Z7kQqRGdcYdDy6hdTLlnGClpINqtW1C2
|
||||
ILcURItbgae2AsLbO2Tv1TeEsGgVzGz1wMDFyRbDcbX1O5W82EKFb2tktpdYmVMZ7fx5Ix4ngtP3
|
||||
iUSNTWenxli4EG5swVOBpeLJQN1b1fm5TkoyJmWru6nxRJRFRSo54+K6D6Wq/ufiQ/MeEuHVG8x/
|
||||
y7Aodc1vkfgErM3OK67JPCmrH8DBxjwspX3r6DIW2DTpkA8pnaHCJyFes1ggWcNaZJXa0YRt4oWP
|
||||
JijKZQ8szS2eJTGy37LKGiVUO0qplhGCBTulWQ0QZQoziXb8fou2wDr880XtjE2zeqm8vOT1ygYn
|
||||
rnaXCWhTtVBB9wTks55wKsEviIPFt9Oupq13spW1eNgPOD0E7cQzeB22bBRcR3/8wa5wcNzCY1wc
|
||||
0ulicH3p43xia77rQtfM5MKrGG2IeyXmuvYAjJAxdxvgLGwDGGqWYwVoc12FqKVmptoDY6V30wXC
|
||||
csv8U+lMae/q37mBkmLbiOTlZdHM9dkYHNvguXxulNUVtKqh7SE2NIEMU6TQ8c8drEKlA1x3VH43
|
||||
ZK1lXeAGmytpLKscX6O58zN/3IbV81Q6iOJdR4zHlLNfTbVUEEmBB9lfpvVYOagMf6PWMs6PHQEv
|
||||
3zofQyMQNcUmoqeT+QLxGwXOrhSEhYxq7M4Fp34hU34ARukJOQYtGsFsrnuQPrWiP4W2QM4o6q9V
|
||||
FKySBOJubxUSODmmAL6ar03SOrj2awmcD+o6Malzmk4tAU75lxAGFjoqHHfujdshzFkQe1CpJjxO
|
||||
0VaWoJRF6tc427HfDj6r6gvpheeB1y73sztxjNgNpttDf8mE8HMMeqFLb7dT+DWhRpCORosjMCjl
|
||||
ynow5dADmc4tbA8qvHVr3U7D2yX4f6cnplvFxYesV/+u6GJEsR3aXUtSzMod9oTvcjeLK+zCp2ip
|
||||
cV78noTgkxw3PJoQdcBsv6PG4uB6mYg0zZBItxOJa2cRsGdztT0fVoA8Ze8lyJrm8uy7iccjunRj
|
||||
lEH77YI/GB3R4WzN2W+NttqMhE66NSb4aFItxTCSN/+7ZGB/rSyJAMqPvp8+if7c7tdZDCybq15k
|
||||
/k5G+WJmuyVa0qx4hHEzsAzfKwhpkneeqZHgNaErtiQwy0SWZBEObvvlIcq1coZvGWLn7x0dqVke
|
||||
O5cwYxsl9gj4j3TiMQUISnG0B9clheFuagVvcyOIlKNHxzySpNhq4t4R0aWvkZMaLmLhEkViF3uU
|
||||
tYU9Gpr7hPAJCoMdjI4gP5wZh/d++ayX60kOyrvqMdyCpaw9rvmpczuCip31cJNvNAmRBcIdasPc
|
||||
rDWfLzBcUq4THYZXb+NSkLTqjO4jaDesU+C6MfeAtDe9MdhUU93PcPkjN3HMe1Sc9F2nlbvu4wrE
|
||||
EzfQiNNJEs8rejFG6LKqtikWhtXMkicIEZkByi7/YlGgGf7FIMEXQGAkkSehhM7nLMKfQCsKw1Wu
|
||||
UitSuaPIJh2D72ObXOwD6ZVdz5iLvOqPt2hqdhAmynB/WHGxR+GGjBgjpmtkUigeq479uBaNa8nE
|
||||
0zTtX3UbiE+Gx1b9NhqkQpINemv38fzjiFQMoOaMbscP86NwugQ9jXYkOaLKo0/u/ZRHCmlfspkM
|
||||
+Q4IAlres3PuaDinNglsx7hILk5Hg9og8s+9ihpfBjgmmVHkyLDl7sN+Ik5ReICko1ZQIlbekj3L
|
||||
XPJLO8V1w+A3RKGopXVlQvyNXDpg3PmjxYKcrraWlfgmqEYHTHF2ADfiVxgH3AwKZ/Nq2WGxwxJp
|
||||
YPQjqnwzsQV5tq6Pk2+LtpXtWL56jNWgQXa2L9K5xOPBGfstT3QIv7jP80qDqTImoB1NFLffVlRO
|
||||
dR+IFGlFpTYcUAWkXLaUDuqe2ignhlhvpuCuDeEeULvCFnccJdi8ODeCGwDq55tcPDYwmb1IHSs7
|
||||
1KZ/spt+ukMQ0e+tHFAFdCVVBAUs7hiI2PbSKhYbHSwJQ0AAzv14aIYH+HuB/f67mKP4Sk4AyLEP
|
||||
+CKG67CwY5xe2c3SpwxiRCVDPCdrZ4Qc3PjPXtQUQhdfFV5utXvB0TiqDpgDoF7laBFV5zBd+iKH
|
||||
6i0y86u64qpCaECSJeNPl3xa69Hn1LVjIOB1WEw566aUHgBUdt5dx7c+N/DktQK4ZrBLKirgeAB4
|
||||
gf6yAr3iyd9IiWLghD3iqzhcrOWW+5qk/Pvb6nnQdla/AUiZnPz3m19T6oGnX5AEQKLrBfIOJFWR
|
||||
b7AkUaAbmJ6ofUvTaHqEi5KQp3Fqgv4ym07REwKxw5BT0x/DmelX262t7iseHPt2bqsKbyXDqgN6
|
||||
VvRcYDXQJGMS+lPAzzyWvPpgxRO158hbK7ld9NwPyEe9nYoUbqpBT9Z5iERedf5je2P8o9T+DwV6
|
||||
RZCdRmTfbVZLmJlpnb80dfJtxhcsRknviOqJb3NAEXf+rgmkzc54c7cD+CF6cU3+O1TJ7+KZW97C
|
||||
bA/nFHj7oGm7sfL5c0X9nMQnGnb1U9Vnh7YnDVeFaVkY6GAywSlKsNuDbzaIygtSkCqtQa74D2z1
|
||||
QAmx8TsYQlT6vptUstyG1bHLhS69S0wR5wA2jSReKMvnbuoIaton44U64Eqp0UxaQecz0OXIW8qr
|
||||
Zk9dsffKNlKrXnlxD/FgFqxIZRGTM/XIX3E6hbqZeGUDAC/x95UjWmCqsVtG+32MxhXgmN8FG1Wt
|
||||
4+rLFDg695mELVeI3fihOeJg22Lf12WB1bvhWweynluSut45GezMGdgGrOLXuc6eesqvWHm8dAWP
|
||||
nxfXHlocVIXax4o+bYfF74GZSAKwzQqsotC0eoQQo6dh5QVh5B4yGNjAEBKG3rTLDtPilZueOL4i
|
||||
XmJK/0PYq83K+c5R/knEQ1JQP7lgcI1phcPeTYGQZFniTvc8BfHb1QgAbUphz8Qpk+vXm9WfM6aJ
|
||||
T/g2F7Mbx4FtAhNk1+egkL5NIT+oR8f+Iy2xvh926ZmmFopF4PXblsj0B+XdPYgDFxhbLoutoRsY
|
||||
bhk1K4FLCmO/rnNrFjZNxNe0BQlTNHN/qBQ/2TicGN5+v23oAaSRF1EowQ+bdMoZ2SFrJJjJgY11
|
||||
Bijh6NdLHHi1nU5hLMHG+cubFNhLQPPB3EWmuWmvtUUum+6xbaC0ig0itRNKIf69VDjE+6gzvCeh
|
||||
JVBmVjtMs7K/9SOVYpCA+bypuKFy+21hLn7BeTuJJS/PPOORmLokz4yIoA1LedezEvrZP+dAqnEA
|
||||
6T0YmZslUxWEPz/uvitQ5MCGErQboiiRxNfHrpmttlmC9WzHthQ9160jkK7n08ICXlSKGZDfNxfY
|
||||
RIkuThhKitAbfy9u9oHoX+V0FYtj9AAQCO+ItgmQqAjAvrkHCYlYVKRzM1sO/ZFhRJv+m3hKfoux
|
||||
amKNM9gX14Lhe4Ntd1mdCyTJo+L1BNBVkR7sJWTrE1iI23lXCiLyVFn1h30VOttil5ctyDlzuMkU
|
||||
ukMtdqISC2ke2LdB3kMW8TQyNiNf9P/wXPDTaGaYujpetyc3f6sBotRm2NI9SiLOxBiPXOU82e1t
|
||||
uPDAN5ujYt48OeLt19XK7D2Qw7vuiKEe+r5WsinEkDgZwHlcqjl3SGykXsCW/uMNC3zt3TJB2ixl
|
||||
sDeyZsOfPlVcoz7ZFiwYpYaOhtwtGHUNGO9xvf8lQLeTRU1Wk+hvFj6gc1nd6r/kDvmbjXqF4TsJ
|
||||
apqXi8g2yZvIm2IHC0A4AVHL4GVLRZQ6OZx5l7RloKTsjdlOFUC7lEUih3BcY9puAYxHdeLPADhD
|
||||
hhTO1+oq0TBq55cPj8R+Y4dADlhhNEJE1UxPb2hyCelOkvjy/CSMz1Hhk5s4CYz2jMJVd2LBaipV
|
||||
5XFqPO23uM1otmT0swdpbNOOU7dleQOHaNqJ81WmMj4RMBhwat3AWxdzv2nK3MxiM/hNZ9f+bJcp
|
||||
pxljpRrEJTAE0U5VgoyDuuObpw3NAlpvp0umLU40DvDmhzXyuNZTL52tgWAzXRBQOgDD6LGNKpL7
|
||||
q84EYj4Ylza1V7xBPE9GgIysjlMeeCpM2CHSQ+B0AinxICmQRe8az4BipNg5ieTuN9C2x3msxhcG
|
||||
ZGeU6rhtmHpvqBAX0soP2CkWmcK9GGwFgYzU0SeRLRtAMm19RYMHvsXnTjvlXGf++Bhd3pmdG4iW
|
||||
zHzZuv/U0yg/Q/5kTks4B2tyAPfauUZHzQNwrtG2Q1hX4z2aBslio2tXau4U3I25D9gU02lN6P2B
|
||||
f4JFue/dZNHCBwQg/2v/CHMCeqvmXFku17L4dKv1wS5xR19qliaX0HozI62eb9u7AgLyQych8guQ
|
||||
T5VVTKivE0qL4Enj4LvynJKyFWTdleTo1rJ2uUjgOecBCNm9dhleTziRvw8sSOd23nV5W3pHXu/+
|
||||
M+5LUC7WAZd8qlXNeb4WzxcX4aGnOC+h3YA9iZXxOWhVdPPh8B21eM9lGMGBwcYRJgQED6RRAvzf
|
||||
XaMoghMoZ15Cd0xLPLxp6r2bJEh2fkS1Ioq4rROqwwikw+oUX6Xb34UNebyh20Zq+EbZsElOKiV2
|
||||
HT6NbxO3P8RRsbKiOFNvE5M/n02iCwZ1gJRmTuc6fuGEaZZjOI9OsUnTbhdI3nfqL8ikSEZNscZ5
|
||||
iLk7CI19viSAMxDDXewic/3Xlenp1z+3a5ROLxo1SOiPg6lrV8C7HkEQjSEvUxvtoEANdBZiaexJ
|
||||
RmUhu5Ik40EzJm4EEmn5XooBK6CqelIw2KZvvphgTyuhdOkqO6DkX+7Ez0ZZR/03mI/q5Q4Q/tBm
|
||||
38xsF3e3E0ef5MKla1/mritrzrGViRYc1/lfEdryUow+DUJXhb5HZBgsB+QqIL3Nr9kvWfq0jKUE
|
||||
I/0WFYtZ2m8of0aVD+1mF9YXIkpZhDQB6Ew/dE4dllFche8d7LjgrVcAPjyuvSOa1dQwICunYSmM
|
||||
eSanPST9/VGVkkx5kUn7on+z6swEyZHnRdw3LaTqRWMSPLELnqO4KPnjk4ju1CtCn6bTQ/TIJXpW
|
||||
GyzFaQh9UCCMkmePR5TH69JhW56aVtAxyayI1DC+3nbAgAtZbKxqXXRTmANFvPEdftFoYe+Xgtsl
|
||||
/ZqAtpTVGbRxleAFuWPJ3ddf2JdHxBXs1sQBI8E7ekQtc1MhiypKjblyqryvgDmqjx4VKQZKYboM
|
||||
a5ecUg6Kiymsqc5OFuM2TMUObLp9cg3UTRK2tBbmHaciRMcTvas7Z2cZsjkaW+uWFLwAhuh2wTM5
|
||||
ezQGm/8W1z21cEzVcOXHtoPHiug/kLXTtrE3aNARFuypfgM9q/dsUm+HD8Ch5TMC3r9S3tw4fRIk
|
||||
U8XzNYvCQBm14+v7Cc5eNTMNSs7F+lvFepMyRXZqd3jU9vcGJ1FPtyYiMKv9+Ci1tYa+psGNedow
|
||||
wYyxMpDPjiY8apbaVERcSMzFbFYIdue4sqo3PhJ0Ia1Q6Kb9tQPX1XNOimyLAChFET1nPHS+0l+I
|
||||
6K3tWCqg1JWQLSPGof8cvJhcmD/G2d4wNij4yvVdexsmMARjatGsfvu6ZhulXYPsg4IdVoJWl+gD
|
||||
GHUUcetJ7Nj7AmO/ApnG03vSGCnLGeQFtyzDh8jnabruLb8ewD8s35Y/rABQj30y0qyXAnQs0U0O
|
||||
Q057+kSN1rSHTxvd8NxocypptOE5eQMxEnV0YolYpKVVJKBupj89dZoAH5f2Ol0SFNZndXG7UTgY
|
||||
a89IrLjm9qPsRGupQUEBVK5wY3aUATPp+TFsQxXstyclePmZX+qb0F7pXbDjKmpplqgnYSGzhl21
|
||||
+sGJlr88yOnnrLUItqxFA4aMENErCx071WJfLoyi0+aAACdLNGl+qUWsjkOMKY/x5lEpbiGZnkAq
|
||||
Hgnhk4JpGdrv5IbXrCvM54jjX23YruB+kDn2yuPjqIwoV2G5uEmWGpNO02q1G/9IKuSB2COU+r9T
|
||||
SM+q3ALxGkwAw6l09x/uBdzlfshlmFEJV8p/8QYrKPamtssd6s45/xPVbckbld27kKUXUqhaa5EY
|
||||
8+ebWoKG8cV/Z4SgXWznYp/eGnLiGsOA3J5lWDSE4Kl/ar3dgW8eQQYmkFlwl2SvGDB/xuvEbylL
|
||||
vY+mMVJLdmmehKi/pDpbuNKKdkBh0aqkpmzP3N0gFqk90Mw0O9SUO+7utWSU8MmgJr8qcVpcROie
|
||||
lGuobh5cG8GuQFhlw6ISQaVIt95ANDEezVN+Vo90KPj1VewN1TR3B0oZLObg/WJFhqBVVuEe6Shn
|
||||
NGXL52EjrCoyZfsbQ9qA1jc7ejtJqLn+c5SWHbvUQ4oHE4PKirsIMldZo1U+V8uhCYiDHs2V+5Ln
|
||||
sVvpbCEpe0/PgnnBtbV9Wr3QU8GQHFWho2xeRiAN3HCcWfR1nIDAL+zmpyU8P8MAGj9/6Qwl560d
|
||||
RNAORs+yXOz9RW5w32N/DOPHEYK+1DlbGqUhKBwwfJlcL+oIgqRz47bfwrSMtu/xoYqKJ9jcfujt
|
||||
kKlEkRmd0msQk94L2MndPYuTxYkrrl684PUi2azGBbfdUiTgjkWvKEfIe47nnF5hEqdMNq0vrYOP
|
||||
o3dRRdu9gj2U3mZtvrTAwy4MQx75lN05mNAItpPLQ0vDW07foAr4eXnL8W6mGF7/bYt/zevPgfF6
|
||||
R0XXBK1QJFIo5bEP8M/89Nd/D/qK2Ww9wUC8IZEZdO+z4pIDzbf/wWaHWAUOYa7vB7t6D+2e1xjD
|
||||
pytWcQpG+joB9ZYjw6ED/RnRzZUmXDtgPWlmjWuvpkfJn9x45HwKFxrt9ty6Ta2UKczfA+ZJlDUt
|
||||
UTwIfueWOu7SJ71E1zHpFXg71hAnJLzjIM3rTO8elS8WjjG87vbBbfkEQ8gyQsXcJ9kWBfeyaXUl
|
||||
4SV7sikdhpIux6/gRLcRAnanZi/k5BxW3Zhs1mWw8q63PN3/oLTrzKWZJRT1Q479rFm8v4CRN9iK
|
||||
rEX47+kRLZsxZ/rV6K/32TgUflzeXL87dfwct382vvlpTj7T8wNtMcAbStqrfpUMVF+tlPq79OG1
|
||||
pMM81eWu8Q1STa8+2j74cHouGHWmSgcHIj3wqDO2h2An1W4g6CakWAql2E1Ref4QETOec9d4AE3I
|
||||
qTSZ7z3D65GpZpgPxZENXZr9nDmB6WO+RZh2ugtBbsr/LO77hP1+H7thbejkAyesCZ/HKADRjHS7
|
||||
fEILIwnvShCFWygFH4TQItIvXknESzSq2j9SzDZDUfFBE0S+4FOJI5p1Kppr/X5Ec4zXRNzz1hC7
|
||||
0rTO3LTYAoAz7j1Nll3UzO9ywqN4m+9OYJsVP1jB2+ifECN+gScrrc10Pjtg+2S3FsZGytYuVrQd
|
||||
SdKETpUEAxll0NOhP2rYN7lZeiL8IHwzu/zVaqyRKAOkO/8UYACJY30qGraRUVIm4ogVa52E27t2
|
||||
oHVbK7qXLzwtf5R/t4VgEY16uD/pOU2YNjUJjjT4rs/ZKwbDkUW0I62HIgqrIblDeeNzifpNH5mf
|
||||
BUEPPgVDsjK84L1pQ7gtWU1hC6KAqDLQrIzEb0t7YNItcl/1LW2AFbV3VzmarRNpTMETVgfrJ8Tb
|
||||
xkzojewoXuFXs66Xqc7ZJdceDCGUzkrd/CrFVikKxEwMxiN+Mwwnj08aoHyGqK+PifECbTEqK1oi
|
||||
FyK5JB2Nkvc5Tj2FhhfOev8HA32ReKik9DUxtsRdVM1JMIPRti4x/+AwE9sldWJ7NPYihKHKYx1B
|
||||
0Jx8Bn+/n1YFINpyxWX1Oxf1poFgusjbr+7h6T57cRnoYIoc27/S9U0+xGF/qbhWT1bz1o41w7oi
|
||||
jh5T3y9s/723C2dEyjvjEsCkJt8rhjdW0dHMF+mKYmyPMYNxpZ9IYEstHLWtrj5xG346GUrwjJpg
|
||||
fjrwNN/eZotSiES1Svi5IIADCUM1r4UPHCjKToNCCbJNo7e8+l8iHEE+yxa+VywaqEC1JUgv90s4
|
||||
lsxCgbkVvr+BdcGNNbmjpA5TUiMuObvVGNz8aHtjkuqxFO1TQg2Lo535TOOOxMm2L8zwsZJYV/pt
|
||||
22R/4YKLj5EPXOYTD696N3niYFgW77N888UWCnwMkLjae1S4Lt38gVcmfePQjuW7J9dgyhwYjgwI
|
||||
AlbEHPCvq0tq7+vOqe+82Vh55uceQrQr9ia6+Hydik+zwbs0y03sTXBhi+PtlXo8Cy3BTRA7a+OS
|
||||
GowqV89JkOimkFpo3e77UzDi3cLGI3JbPJOrhdoYgn6KymBtW1Nn3Kd0GZ7wcMEm/xy6oHb1sx2Q
|
||||
eE/cc5Rp9/MQbf7V9CNzolbbl0WY69DTjkEiiK4BWn1sMPXiIoFUD9BciCGwsEhi52ceEzPSr7p6
|
||||
a0yn30LAbxiLExC6aYeT6LTCKd1Pby2bPgiJL1S5VvUairAXnh8m2XnPmxFHPYBWn1/guzrSvHWd
|
||||
zyaGNoi9iWoz3n45foFVKfR8Wbfalynby2LeMaCgGGeXoRm6375Xa/qkQUjxShsq7YuiO4ql3mMp
|
||||
/cEQHHnAm1M5il8G668JaMLSOlIUl0LuuKqwHslzuDwK+qx7sjgEdx5Hx4+XQMu7DtRwk3ws3CAl
|
||||
4xJjwlXjYkIxiY8MdBjljZaK6IqJK0+bTuzCSFRwpBx12xvT4oJAHGXikKBrcwfBgpvD/D++9MB9
|
||||
lkew6fALarA7hLrshodU8KZNAINHlCUELcUu1g3wND/X25XgwDq2/8CP+lhN1bHboWQEC+4f9VnJ
|
||||
/8rvPAjvrE9gNFhdWNRIFY7TGcNM53t/5A1xYiJGzZoxDSsMqe4BIjFMdlVwnx0IlCgVKT7McCqf
|
||||
iZa6xY+v2v0UN3anWcMlNC9BUWXU88a1j7vzns9/xOgmJupKQ8eIG5gaYAAO+vqqWg2m21T9yCnk
|
||||
nH9jBXEtDQB14eFc73+1Z4MwDOGraxcH9nH9KMcgwv/yXcM56KPUkeZM9ukCaG93EksCFiygZBjL
|
||||
H9GZxekeylaeyOKs32sBG7aNXfviJ4EMBWFMrSyGhltPCWhamWtsiqWwGLGL8AHvRFbjUZLBOJq9
|
||||
hmsCQDdXONJIf6Hk88j4WvTcssoqNFDCABZFlQo5yrpg/Gm0ME0ejf55acGyz5WS12G/birEQyv3
|
||||
FW1JmAqBQx1lZ+rmfrAXv2GP50iqFR1ry69facKlDQJA7wag8KTEhYkd6MAHOKMOrfHmlf7iZzrm
|
||||
iUlfkn0ps+79oOViStRqt9NSWVtCMrz2SUUsygNTnblgFt9SGY1fRjcPk/5Jq9MKs4b/ws545j+n
|
||||
23q7L5pmys40tqlCR4I6fkV5yw9WhGVN2O4AVh4pd5EWkx74d5DLxb5k1CVIZ1wHg+nFlAajaCH3
|
||||
Q0j/g7AY/GkmiLXR7tHgpQJ+D4ywuLJCOvCGitzSKJx5elyUnex7Eo/V0sFVyRlPrs2orB/wIR1o
|
||||
2KFOOOvzH9yCjMnUpIun39gzD14Odvc004Jl1O2LTrUnQKNF+EvWhtyMqrYEK2/lYp7Dj/CuQ0DU
|
||||
O71Oh7vW4TaiZXzoGjtHgE1wK18tcODsnu9lIq+BHI4V4sC2rgLBpuikgO3pc/2rMXnztDEvPo1g
|
||||
yMas12DcxRD0Vd8GLNZ/1b8UesjUObhlNDViU+bIHfp1gSo85QwVczqLVJ6O4MEf+WmUJE0hwl8N
|
||||
qVbApSe4gVvMculYrEmL05DuOvn877fcvy76nVT6TRnJFOelcTYEjgI0L4WNdn+a6FgyWSXyKP0x
|
||||
KEPfC9tE7fc/u3qHgb3QaTBAWK1hXD7Muem7sVeCZXWUc35R8qzX/Xdhxs15q3NOlmZoNaRLA1py
|
||||
jAuTDXNVtpBf2Gp0GRRGdweG1styxLXkAicR/qGnzv4hZ7NCrlvuEPZ4Mr8GFztOq15FbBBWnHcr
|
||||
LKvmsW7YC7+4TMH+bpyU95RF/KqXulTjTyB36N5nBZfDW4rFC5oiHx+Nm5XFBufshaB/Pu3JqMFV
|
||||
zc4GPk58lrDA6v8HFNG4eICrm8hN4LzwB9+jMBOq+wF4+6dz6WxiXHUVOc7Iu4ITAnV7VorXrqr/
|
||||
sWKxxMDS/hxRqLAGXLIjNRPh6rstqJg+/BBQPqG0c4HUh5/MjX9dudtEeGzGeIlt1YS69l2q/BDB
|
||||
109hwz74jNoCBOoeKeipOp4C11ttjDmY2bKYn5znuNJhFVjF6epChoYQLI7HuTgjL7aJ5U9T8bSo
|
||||
SXiFG0A4RN0S0fTy1UI3em4GMd0FTJe/MPvZ1iOklKwSUxXHA4JU9RXw9nYjvN/KCBg0Zw2WiS52
|
||||
H60KoDBtA20Ktxxh9BSDrGjXUZKXRdBRYBs3qNJjgMohPKyFJFK+c6ZS/6bGv/x6r9A7Yz03MhWA
|
||||
rJzJ1AO/fYPX9K0C4AGpKmAZLmMxmf1z7PE7geTejX4KTWrhwtyliMDbH8dG7v4YYI+YO8HqBRz2
|
||||
UCgQNlsgGCrGYnLpqaCKSfkh6u+QMhGMhLg9aEA4Rqac/iEkG3zBr5bZ3HM29L+zplvqvPijhDhb
|
||||
T1mpbcimuQm+7FQLXr4d3xp9rK2oR3rB5oew8cWFljfDNsb6fU2JKdUZVbgj+g2inxPgzVXCwlj+
|
||||
Fgm9tAMtKuW1p0ijd/TACHu/uAZDlyNPoUXQzebcumnbmYb7MpV27yJD3KI5ld1o82KcgYuMTo26
|
||||
bHplFJHb5DAssPelWZzmaXFb/GIMSKbVbAD8dDSndFzE97oNQJdkCMoYbKYiWwuDNqHyS3OymwiG
|
||||
+JB9HwKesiOxq4hm3fqYRMjffYPICDJCxOXD7cPRtUSdSgCM+R9Ufj+jsJNJWNeBouteeuB7TpjK
|
||||
F/y9HQqRq+4Lc/aSAZUFsBmeOer+y4gO2G6793Z4m6zIjhxP7Xfd1yhqRiQfUrkxY9jS5C+WORhZ
|
||||
U2pIlD15JskanGuSrXEXWlDqBEMg71GD8K0u2ysyu0X6g2EzlLYuuuqHrrkY0YSb4tfPZseF5SDx
|
||||
qfhWT67TDHf4N0wbq5nbZ3JHNUWGlb8AFYwJaDws6/5AHsk5vomnefjuIpYDXG4mA9TdR6m29pz1
|
||||
1hv67mlvASZeO1ILfMn6z1sEzuwKNd28yoPW
|
||||
`protect end_protected
|
||||
library IEEE;
|
||||
use IEEE.STD_LOGIC_1164.ALL;
|
||||
library UNISIM;
|
||||
use UNISIM.VCOMPONENTS.ALL;
|
||||
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
|
||||
port (
|
||||
A : in STD_LOGIC_VECTOR ( 1 downto 0 );
|
||||
S : out STD_LOGIC_VECTOR ( 1 downto 0 )
|
||||
);
|
||||
attribute NotValidForBitStream : boolean;
|
||||
attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
|
||||
attribute CHECK_LICENSE_TYPE : string;
|
||||
attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "top_c_addsub_0_1,c_addsub_v12_0_14,{}";
|
||||
attribute downgradeipidentifiedwarnings : string;
|
||||
attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
|
||||
attribute x_core_info : string;
|
||||
attribute x_core_info of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "c_addsub_v12_0_14,Vivado 2022.1";
|
||||
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
|
||||
|
||||
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
|
||||
signal NLW_U0_C_OUT_UNCONNECTED : STD_LOGIC;
|
||||
attribute C_BORROW_LOW : integer;
|
||||
attribute C_BORROW_LOW of U0 : label is 1;
|
||||
attribute C_CE_OVERRIDES_BYPASS : integer;
|
||||
attribute C_CE_OVERRIDES_BYPASS of U0 : label is 1;
|
||||
attribute C_CE_OVERRIDES_SCLR : integer;
|
||||
attribute C_CE_OVERRIDES_SCLR of U0 : label is 0;
|
||||
attribute C_IMPLEMENTATION : integer;
|
||||
attribute C_IMPLEMENTATION of U0 : label is 0;
|
||||
attribute C_SCLR_OVERRIDES_SSET : integer;
|
||||
attribute C_SCLR_OVERRIDES_SSET of U0 : label is 1;
|
||||
attribute C_VERBOSITY : integer;
|
||||
attribute C_VERBOSITY of U0 : label is 0;
|
||||
attribute C_XDEVICEFAMILY : string;
|
||||
attribute C_XDEVICEFAMILY of U0 : label is "artix7l";
|
||||
attribute KEEP_HIERARCHY : string;
|
||||
attribute KEEP_HIERARCHY of U0 : label is "soft";
|
||||
attribute c_a_type : integer;
|
||||
attribute c_a_type of U0 : label is 1;
|
||||
attribute c_a_width : integer;
|
||||
attribute c_a_width of U0 : label is 2;
|
||||
attribute c_add_mode : integer;
|
||||
attribute c_add_mode of U0 : label is 0;
|
||||
attribute c_ainit_val : string;
|
||||
attribute c_ainit_val of U0 : label is "0";
|
||||
attribute c_b_constant : integer;
|
||||
attribute c_b_constant of U0 : label is 1;
|
||||
attribute c_b_type : integer;
|
||||
attribute c_b_type of U0 : label is 1;
|
||||
attribute c_b_value : string;
|
||||
attribute c_b_value of U0 : label is "01";
|
||||
attribute c_b_width : integer;
|
||||
attribute c_b_width of U0 : label is 2;
|
||||
attribute c_bypass_low : integer;
|
||||
attribute c_bypass_low of U0 : label is 0;
|
||||
attribute c_has_bypass : integer;
|
||||
attribute c_has_bypass of U0 : label is 0;
|
||||
attribute c_has_c_in : integer;
|
||||
attribute c_has_c_in of U0 : label is 0;
|
||||
attribute c_has_c_out : integer;
|
||||
attribute c_has_c_out of U0 : label is 0;
|
||||
attribute c_has_ce : integer;
|
||||
attribute c_has_ce of U0 : label is 0;
|
||||
attribute c_has_sclr : integer;
|
||||
attribute c_has_sclr of U0 : label is 0;
|
||||
attribute c_has_sinit : integer;
|
||||
attribute c_has_sinit of U0 : label is 0;
|
||||
attribute c_has_sset : integer;
|
||||
attribute c_has_sset of U0 : label is 0;
|
||||
attribute c_latency : integer;
|
||||
attribute c_latency of U0 : label is 0;
|
||||
attribute c_out_width : integer;
|
||||
attribute c_out_width of U0 : label is 2;
|
||||
attribute c_sinit_val : string;
|
||||
attribute c_sinit_val of U0 : label is "0";
|
||||
attribute downgradeipidentifiedwarnings of U0 : label is "yes";
|
||||
attribute is_du_within_envelope : string;
|
||||
attribute is_du_within_envelope of U0 : label is "true";
|
||||
attribute x_interface_info : string;
|
||||
attribute x_interface_info of A : signal is "xilinx.com:signal:data:1.0 a_intf DATA";
|
||||
attribute x_interface_parameter : string;
|
||||
attribute x_interface_parameter of A : signal is "XIL_INTERFACENAME a_intf, LAYERED_METADATA undef";
|
||||
attribute x_interface_info of S : signal is "xilinx.com:signal:data:1.0 s_intf DATA";
|
||||
attribute x_interface_parameter of S : signal is "XIL_INTERFACENAME s_intf, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {DATA {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value data} bitwidth {attribs {resolve_type generated dependency bitwidth format long minimum {} maximum {}} value 2} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0} integer {signed {attribs {resolve_type generated dependency signed format bool minimum {} maximum {}} value FALSE}}}} DATA_WIDTH 2}";
|
||||
begin
|
||||
U0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_c_addsub_v12_0_14
|
||||
port map (
|
||||
A(1 downto 0) => A(1 downto 0),
|
||||
ADD => '1',
|
||||
B(1 downto 0) => B"00",
|
||||
BYPASS => '0',
|
||||
CE => '1',
|
||||
CLK => '0',
|
||||
C_IN => '0',
|
||||
C_OUT => NLW_U0_C_OUT_UNCONNECTED,
|
||||
S(1 downto 0) => S(1 downto 0),
|
||||
SCLR => '0',
|
||||
SINIT => '0',
|
||||
SSET => '0'
|
||||
);
|
||||
end STRUCTURE;
|
21
hello_world_dma.cache/ip/2022.1/5/4/545344bc7fb3e6c2/top_c_addsub_0_1_stub.v
Executable file
21
hello_world_dma.cache/ip/2022.1/5/4/545344bc7fb3e6c2/top_c_addsub_0_1_stub.v
Executable file
|
@ -0,0 +1,21 @@
|
|||
// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
|
||||
// --------------------------------------------------------------------------------
|
||||
// Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
|
||||
// Date : Wed Jun 25 03:38:24 2025
|
||||
// Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
|
||||
// Command : write_verilog -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
|
||||
// decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_c_addsub_0_1_stub.v
|
||||
// Design : top_c_addsub_0_1
|
||||
// Purpose : Stub declaration of top-level module interface
|
||||
// Device : xc7a100tlfgg484-2L
|
||||
// --------------------------------------------------------------------------------
|
||||
|
||||
// This empty module with port declaration file causes synthesis tools to infer a black box for IP.
|
||||
// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion.
|
||||
// Please paste the declaration into a Verilog source file or add the file as an additional source.
|
||||
(* x_core_info = "c_addsub_v12_0_14,Vivado 2022.1" *)
|
||||
module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix(A, S)
|
||||
/* synthesis syn_black_box black_box_pad_pin="A[1:0],S[1:0]" */;
|
||||
input [1:0]A;
|
||||
output [1:0]S;
|
||||
endmodule
|
|
@ -0,0 +1,31 @@
|
|||
-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
|
||||
-- --------------------------------------------------------------------------------
|
||||
-- Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
|
||||
-- Date : Wed Jun 25 03:38:25 2025
|
||||
-- Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
|
||||
-- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
|
||||
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_c_addsub_0_1_stub.vhdl
|
||||
-- Design : top_c_addsub_0_1
|
||||
-- Purpose : Stub declaration of top-level module interface
|
||||
-- Device : xc7a100tlfgg484-2L
|
||||
-- --------------------------------------------------------------------------------
|
||||
library IEEE;
|
||||
use IEEE.STD_LOGIC_1164.ALL;
|
||||
|
||||
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
|
||||
Port (
|
||||
A : in STD_LOGIC_VECTOR ( 1 downto 0 );
|
||||
S : out STD_LOGIC_VECTOR ( 1 downto 0 )
|
||||
);
|
||||
|
||||
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
|
||||
|
||||
architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
|
||||
attribute syn_black_box : boolean;
|
||||
attribute black_box_pad_pin : string;
|
||||
attribute syn_black_box of stub : architecture is true;
|
||||
attribute black_box_pad_pin of stub : architecture is "A[1:0],S[1:0]";
|
||||
attribute x_core_info : string;
|
||||
attribute x_core_info of stub : architecture is "c_addsub_v12_0_14,Vivado 2022.1";
|
||||
begin
|
||||
end;
|
File diff suppressed because it is too large
Load diff
|
@ -0,0 +1,2 @@
|
|||
NumberHits:1
|
||||
Timestamp: Thu Jun 26 02:22:16 UTC 2025
|
Binary file not shown.
3688
hello_world_dma.cache/ip/2022.1/5/7/57382e13b83a039f/top_xbar_0_sim_netlist.v
Executable file
3688
hello_world_dma.cache/ip/2022.1/5/7/57382e13b83a039f/top_xbar_0_sim_netlist.v
Executable file
File diff suppressed because it is too large
Load diff
4133
hello_world_dma.cache/ip/2022.1/5/7/57382e13b83a039f/top_xbar_0_sim_netlist.vhdl
Executable file
4133
hello_world_dma.cache/ip/2022.1/5/7/57382e13b83a039f/top_xbar_0_sim_netlist.vhdl
Executable file
File diff suppressed because it is too large
Load diff
65
hello_world_dma.cache/ip/2022.1/5/7/57382e13b83a039f/top_xbar_0_stub.v
Executable file
65
hello_world_dma.cache/ip/2022.1/5/7/57382e13b83a039f/top_xbar_0_stub.v
Executable file
|
@ -0,0 +1,65 @@
|
|||
// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
|
||||
// --------------------------------------------------------------------------------
|
||||
// Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
|
||||
// Date : Tue Jun 24 20:48:29 2025
|
||||
// Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
|
||||
// Command : write_verilog -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
|
||||
// decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_xbar_0_stub.v
|
||||
// Design : top_xbar_0
|
||||
// Purpose : Stub declaration of top-level module interface
|
||||
// Device : xc7a100tlfgg484-2L
|
||||
// --------------------------------------------------------------------------------
|
||||
|
||||
// This empty module with port declaration file causes synthesis tools to infer a black box for IP.
|
||||
// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion.
|
||||
// Please paste the declaration into a Verilog source file or add the file as an additional source.
|
||||
(* X_CORE_INFO = "axi_crossbar_v2_1_27_axi_crossbar,Vivado 2022.1" *)
|
||||
module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix(aclk, aresetn, s_axi_awaddr, s_axi_awprot,
|
||||
s_axi_awvalid, s_axi_awready, s_axi_wdata, s_axi_wstrb, s_axi_wvalid, s_axi_wready,
|
||||
s_axi_bresp, s_axi_bvalid, s_axi_bready, s_axi_araddr, s_axi_arprot, s_axi_arvalid,
|
||||
s_axi_arready, s_axi_rdata, s_axi_rresp, s_axi_rvalid, s_axi_rready, m_axi_awaddr,
|
||||
m_axi_awprot, m_axi_awvalid, m_axi_awready, m_axi_wdata, m_axi_wstrb, m_axi_wvalid,
|
||||
m_axi_wready, m_axi_bresp, m_axi_bvalid, m_axi_bready, m_axi_araddr, m_axi_arprot,
|
||||
m_axi_arvalid, m_axi_arready, m_axi_rdata, m_axi_rresp, m_axi_rvalid, m_axi_rready)
|
||||
/* synthesis syn_black_box black_box_pad_pin="aclk,aresetn,s_axi_awaddr[31:0],s_axi_awprot[2:0],s_axi_awvalid[0:0],s_axi_awready[0:0],s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wvalid[0:0],s_axi_wready[0:0],s_axi_bresp[1:0],s_axi_bvalid[0:0],s_axi_bready[0:0],s_axi_araddr[31:0],s_axi_arprot[2:0],s_axi_arvalid[0:0],s_axi_arready[0:0],s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rvalid[0:0],s_axi_rready[0:0],m_axi_awaddr[63:0],m_axi_awprot[5:0],m_axi_awvalid[1:0],m_axi_awready[1:0],m_axi_wdata[63:0],m_axi_wstrb[7:0],m_axi_wvalid[1:0],m_axi_wready[1:0],m_axi_bresp[3:0],m_axi_bvalid[1:0],m_axi_bready[1:0],m_axi_araddr[63:0],m_axi_arprot[5:0],m_axi_arvalid[1:0],m_axi_arready[1:0],m_axi_rdata[63:0],m_axi_rresp[3:0],m_axi_rvalid[1:0],m_axi_rready[1:0]" */;
|
||||
input aclk;
|
||||
input aresetn;
|
||||
input [31:0]s_axi_awaddr;
|
||||
input [2:0]s_axi_awprot;
|
||||
input [0:0]s_axi_awvalid;
|
||||
output [0:0]s_axi_awready;
|
||||
input [31:0]s_axi_wdata;
|
||||
input [3:0]s_axi_wstrb;
|
||||
input [0:0]s_axi_wvalid;
|
||||
output [0:0]s_axi_wready;
|
||||
output [1:0]s_axi_bresp;
|
||||
output [0:0]s_axi_bvalid;
|
||||
input [0:0]s_axi_bready;
|
||||
input [31:0]s_axi_araddr;
|
||||
input [2:0]s_axi_arprot;
|
||||
input [0:0]s_axi_arvalid;
|
||||
output [0:0]s_axi_arready;
|
||||
output [31:0]s_axi_rdata;
|
||||
output [1:0]s_axi_rresp;
|
||||
output [0:0]s_axi_rvalid;
|
||||
input [0:0]s_axi_rready;
|
||||
output [63:0]m_axi_awaddr;
|
||||
output [5:0]m_axi_awprot;
|
||||
output [1:0]m_axi_awvalid;
|
||||
input [1:0]m_axi_awready;
|
||||
output [63:0]m_axi_wdata;
|
||||
output [7:0]m_axi_wstrb;
|
||||
output [1:0]m_axi_wvalid;
|
||||
input [1:0]m_axi_wready;
|
||||
input [3:0]m_axi_bresp;
|
||||
input [1:0]m_axi_bvalid;
|
||||
output [1:0]m_axi_bready;
|
||||
output [63:0]m_axi_araddr;
|
||||
output [5:0]m_axi_arprot;
|
||||
output [1:0]m_axi_arvalid;
|
||||
input [1:0]m_axi_arready;
|
||||
input [63:0]m_axi_rdata;
|
||||
input [3:0]m_axi_rresp;
|
||||
input [1:0]m_axi_rvalid;
|
||||
output [1:0]m_axi_rready;
|
||||
endmodule
|
69
hello_world_dma.cache/ip/2022.1/5/7/57382e13b83a039f/top_xbar_0_stub.vhdl
Executable file
69
hello_world_dma.cache/ip/2022.1/5/7/57382e13b83a039f/top_xbar_0_stub.vhdl
Executable file
|
@ -0,0 +1,69 @@
|
|||
-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
|
||||
-- --------------------------------------------------------------------------------
|
||||
-- Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
|
||||
-- Date : Tue Jun 24 20:48:29 2025
|
||||
-- Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
|
||||
-- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
|
||||
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_xbar_0_stub.vhdl
|
||||
-- Design : top_xbar_0
|
||||
-- Purpose : Stub declaration of top-level module interface
|
||||
-- Device : xc7a100tlfgg484-2L
|
||||
-- --------------------------------------------------------------------------------
|
||||
library IEEE;
|
||||
use IEEE.STD_LOGIC_1164.ALL;
|
||||
|
||||
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
|
||||
Port (
|
||||
aclk : in STD_LOGIC;
|
||||
aresetn : in STD_LOGIC;
|
||||
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
|
||||
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
|
||||
s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
|
||||
s_axi_awready : out STD_LOGIC_VECTOR ( 0 to 0 );
|
||||
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
|
||||
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
|
||||
s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
|
||||
s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 );
|
||||
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
|
||||
s_axi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
|
||||
s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 );
|
||||
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
|
||||
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
|
||||
s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
|
||||
s_axi_arready : out STD_LOGIC_VECTOR ( 0 to 0 );
|
||||
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
|
||||
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
|
||||
s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
|
||||
s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 );
|
||||
m_axi_awaddr : out STD_LOGIC_VECTOR ( 63 downto 0 );
|
||||
m_axi_awprot : out STD_LOGIC_VECTOR ( 5 downto 0 );
|
||||
m_axi_awvalid : out STD_LOGIC_VECTOR ( 1 downto 0 );
|
||||
m_axi_awready : in STD_LOGIC_VECTOR ( 1 downto 0 );
|
||||
m_axi_wdata : out STD_LOGIC_VECTOR ( 63 downto 0 );
|
||||
m_axi_wstrb : out STD_LOGIC_VECTOR ( 7 downto 0 );
|
||||
m_axi_wvalid : out STD_LOGIC_VECTOR ( 1 downto 0 );
|
||||
m_axi_wready : in STD_LOGIC_VECTOR ( 1 downto 0 );
|
||||
m_axi_bresp : in STD_LOGIC_VECTOR ( 3 downto 0 );
|
||||
m_axi_bvalid : in STD_LOGIC_VECTOR ( 1 downto 0 );
|
||||
m_axi_bready : out STD_LOGIC_VECTOR ( 1 downto 0 );
|
||||
m_axi_araddr : out STD_LOGIC_VECTOR ( 63 downto 0 );
|
||||
m_axi_arprot : out STD_LOGIC_VECTOR ( 5 downto 0 );
|
||||
m_axi_arvalid : out STD_LOGIC_VECTOR ( 1 downto 0 );
|
||||
m_axi_arready : in STD_LOGIC_VECTOR ( 1 downto 0 );
|
||||
m_axi_rdata : in STD_LOGIC_VECTOR ( 63 downto 0 );
|
||||
m_axi_rresp : in STD_LOGIC_VECTOR ( 3 downto 0 );
|
||||
m_axi_rvalid : in STD_LOGIC_VECTOR ( 1 downto 0 );
|
||||
m_axi_rready : out STD_LOGIC_VECTOR ( 1 downto 0 )
|
||||
);
|
||||
|
||||
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
|
||||
|
||||
architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
|
||||
attribute syn_black_box : boolean;
|
||||
attribute black_box_pad_pin : string;
|
||||
attribute syn_black_box of stub : architecture is true;
|
||||
attribute black_box_pad_pin of stub : architecture is "aclk,aresetn,s_axi_awaddr[31:0],s_axi_awprot[2:0],s_axi_awvalid[0:0],s_axi_awready[0:0],s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wvalid[0:0],s_axi_wready[0:0],s_axi_bresp[1:0],s_axi_bvalid[0:0],s_axi_bready[0:0],s_axi_araddr[31:0],s_axi_arprot[2:0],s_axi_arvalid[0:0],s_axi_arready[0:0],s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rvalid[0:0],s_axi_rready[0:0],m_axi_awaddr[63:0],m_axi_awprot[5:0],m_axi_awvalid[1:0],m_axi_awready[1:0],m_axi_wdata[63:0],m_axi_wstrb[7:0],m_axi_wvalid[1:0],m_axi_wready[1:0],m_axi_bresp[3:0],m_axi_bvalid[1:0],m_axi_bready[1:0],m_axi_araddr[63:0],m_axi_arprot[5:0],m_axi_arvalid[1:0],m_axi_arready[1:0],m_axi_rdata[63:0],m_axi_rresp[3:0],m_axi_rvalid[1:0],m_axi_rready[1:0]";
|
||||
attribute X_CORE_INFO : string;
|
||||
attribute X_CORE_INFO of stub : architecture is "axi_crossbar_v2_1_27_axi_crossbar,Vivado 2022.1";
|
||||
begin
|
||||
end;
|
File diff suppressed because it is too large
Load diff
|
@ -0,0 +1,2 @@
|
|||
NumberHits:1
|
||||
Timestamp: Wed Jun 25 01:24:53 UTC 2025
|
BIN
hello_world_dma.cache/ip/2022.1/5/b/5b0eac07e8a7e46d/u_ila_0.dcp
Normal file
BIN
hello_world_dma.cache/ip/2022.1/5/b/5b0eac07e8a7e46d/u_ila_0.dcp
Normal file
Binary file not shown.
30405
hello_world_dma.cache/ip/2022.1/5/b/5b0eac07e8a7e46d/u_ila_0_sim_netlist.v
Executable file
30405
hello_world_dma.cache/ip/2022.1/5/b/5b0eac07e8a7e46d/u_ila_0_sim_netlist.v
Executable file
File diff suppressed because one or more lines are too long
65812
hello_world_dma.cache/ip/2022.1/5/b/5b0eac07e8a7e46d/u_ila_0_sim_netlist.vhdl
Executable file
65812
hello_world_dma.cache/ip/2022.1/5/b/5b0eac07e8a7e46d/u_ila_0_sim_netlist.vhdl
Executable file
File diff suppressed because one or more lines are too long
22
hello_world_dma.cache/ip/2022.1/5/b/5b0eac07e8a7e46d/u_ila_0_stub.v
Executable file
22
hello_world_dma.cache/ip/2022.1/5/b/5b0eac07e8a7e46d/u_ila_0_stub.v
Executable file
|
@ -0,0 +1,22 @@
|
|||
// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
|
||||
// --------------------------------------------------------------------------------
|
||||
// Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
|
||||
// Date : Tue Jun 24 22:34:57 2025
|
||||
// Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
|
||||
// Command : write_verilog -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
|
||||
// decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ u_ila_0_stub.v
|
||||
// Design : u_ila_0
|
||||
// Purpose : Stub declaration of top-level module interface
|
||||
// Device : xc7a100tlfgg484-2L
|
||||
// --------------------------------------------------------------------------------
|
||||
|
||||
// This empty module with port declaration file causes synthesis tools to infer a black box for IP.
|
||||
// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion.
|
||||
// Please paste the declaration into a Verilog source file or add the file as an additional source.
|
||||
(* X_CORE_INFO = "ila,Vivado 2022.1" *)
|
||||
module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix(clk, probe0, probe1)
|
||||
/* synthesis syn_black_box black_box_pad_pin="clk,probe0[31:0],probe1[31:0]" */;
|
||||
input clk;
|
||||
input [31:0]probe0;
|
||||
input [31:0]probe1;
|
||||
endmodule
|
32
hello_world_dma.cache/ip/2022.1/5/b/5b0eac07e8a7e46d/u_ila_0_stub.vhdl
Executable file
32
hello_world_dma.cache/ip/2022.1/5/b/5b0eac07e8a7e46d/u_ila_0_stub.vhdl
Executable file
|
@ -0,0 +1,32 @@
|
|||
-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
|
||||
-- --------------------------------------------------------------------------------
|
||||
-- Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
|
||||
-- Date : Tue Jun 24 22:34:57 2025
|
||||
-- Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
|
||||
-- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
|
||||
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ u_ila_0_stub.vhdl
|
||||
-- Design : u_ila_0
|
||||
-- Purpose : Stub declaration of top-level module interface
|
||||
-- Device : xc7a100tlfgg484-2L
|
||||
-- --------------------------------------------------------------------------------
|
||||
library IEEE;
|
||||
use IEEE.STD_LOGIC_1164.ALL;
|
||||
|
||||
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
|
||||
Port (
|
||||
clk : in STD_LOGIC;
|
||||
probe0 : in STD_LOGIC_VECTOR ( 31 downto 0 );
|
||||
probe1 : in STD_LOGIC_VECTOR ( 31 downto 0 )
|
||||
);
|
||||
|
||||
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
|
||||
|
||||
architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
|
||||
attribute syn_black_box : boolean;
|
||||
attribute black_box_pad_pin : string;
|
||||
attribute syn_black_box of stub : architecture is true;
|
||||
attribute black_box_pad_pin of stub : architecture is "clk,probe0[31:0],probe1[31:0]";
|
||||
attribute X_CORE_INFO : string;
|
||||
attribute X_CORE_INFO of stub : architecture is "ila,Vivado 2022.1";
|
||||
begin
|
||||
end;
|
Loading…
Add table
Add a link
Reference in a new issue