it's an adder now

This commit is contained in:
Nicholas Orlowsky 2025-06-28 08:41:37 -04:00
parent ba46ab8dc4
commit 8616605746
No known key found for this signature in database
GPG key ID: A9F3BA4C0AA7A70B
376 changed files with 1693682 additions and 476560 deletions

5
README.md Normal file
View file

@ -0,0 +1,5 @@
# FPGA Blinker
This is a simple 'hello-world'-esque design for an FPGA that allows the LEDs to
be controlled from a computer over PCIe. This is designed for (and has only
been run on an RHS Research Litefury FPGA).

View file

@ -0,0 +1,112 @@
<?xml version="1.0" encoding="UTF-8"?>
<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
<spirit:vendor>xilinx.com</spirit:vendor>
<spirit:library>ipcache</spirit:library>
<spirit:name>009a31272af29f52</spirit:name>
<spirit:version>0</spirit:version>
<spirit:componentInstances>
<spirit:componentInstance>
<spirit:instanceName>top_axi_smc_0</spirit:instanceName>
<spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="smartconnect" spirit:version="1.0"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLK.ACLK.ASSOCIATED_PORT"/>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLK.ACLK.FREQ_HZ">125000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLK.ACLK.FREQ_TOLERANCE_HZ">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLK.ACLK.INSERT_VIP">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLK.ACLK.PHASE">0.0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.ARUSER_WIDTH">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.AWUSER_WIDTH">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.BUSER_WIDTH">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.DATA_WIDTH">32</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.FREQ_HZ">125000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_BRESP">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_BURST">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_CACHE">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_LOCK">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_PROT">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_QOS">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_REGION">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_RRESP">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_WSTRB">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.ID_WIDTH">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.INSERT_VIP">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.MAX_BURST_LENGTH">256</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.NUM_READ_OUTSTANDING">32</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.NUM_READ_THREADS">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.NUM_WRITE_OUTSTANDING">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.NUM_WRITE_THREADS">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.PHASE">0.0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.PROTOCOL">AXI4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.RUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.RUSER_WIDTH">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.SUPPORTS_NARROW_BURST">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.WUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.WUSER_WIDTH">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RST.ARESETN.INSERT_VIP">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RST.ARESETN.POLARITY">ACTIVE_LOW</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.ADDR_WIDTH">64</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.ARUSER_WIDTH">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.AWUSER_WIDTH">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.BUSER_WIDTH">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.DATA_WIDTH">64</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.FREQ_HZ">125000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_BRESP">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_BURST">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_CACHE">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_LOCK">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_PROT">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_QOS">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_REGION">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_RRESP">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_WSTRB">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.ID_WIDTH">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.INSERT_VIP">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.MAX_BURST_LENGTH">256</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.NUM_READ_OUTSTANDING">32</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.NUM_READ_THREADS">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.NUM_WRITE_OUTSTANDING">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.NUM_WRITE_THREADS">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.PHASE">0.0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.PROTOCOL">AXI4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.RUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.RUSER_WIDTH">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.SUPPORTS_NARROW_BURST">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.WUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.WUSER_WIDTH">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ADVANCED_PROPERTIES">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">top_axi_smc_0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.HAS_ARESETN">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.NUM_CLKS">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.NUM_MI">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.NUM_SI">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">artix7</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7a100tl</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">fgg484</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VERILOG</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-2L</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.STATIC_POWER"/>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE">E</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHEELABORATESCRC">580d1a4f</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHEID">009a31272af29f52</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESPECIALDATA">top_axi_smc_0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHCL">$Change: 3513466 $</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHCRC">9a15d5e9</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHRUNTIME">79</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Unknown</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">18</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2022.1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">GLOBAL</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
</spirit:componentInstances>
</spirit:design>

File diff suppressed because one or more lines are too long

File diff suppressed because it is too large Load diff

View file

@ -0,0 +1,105 @@
// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
// --------------------------------------------------------------------------------
// Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
// Date : Tue Jun 24 20:47:42 2025
// Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
// Command : write_verilog -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
// decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_axi_smc_0_stub.v
// Design : top_axi_smc_0
// Purpose : Stub declaration of top-level module interface
// Device : xc7a100tlfgg484-2L
// --------------------------------------------------------------------------------
// This empty module with port declaration file causes synthesis tools to infer a black box for IP.
// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion.
// Please paste the declaration into a Verilog source file or add the file as an additional source.
(* X_CORE_INFO = "bd_b43a,Vivado 2022.1" *)
module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix(aclk, aresetn, S00_AXI_awid, S00_AXI_awaddr,
S00_AXI_awlen, S00_AXI_awsize, S00_AXI_awburst, S00_AXI_awlock, S00_AXI_awcache,
S00_AXI_awprot, S00_AXI_awqos, S00_AXI_awvalid, S00_AXI_awready, S00_AXI_wdata,
S00_AXI_wstrb, S00_AXI_wlast, S00_AXI_wvalid, S00_AXI_wready, S00_AXI_bid, S00_AXI_bresp,
S00_AXI_bvalid, S00_AXI_bready, S00_AXI_arid, S00_AXI_araddr, S00_AXI_arlen,
S00_AXI_arsize, S00_AXI_arburst, S00_AXI_arlock, S00_AXI_arcache, S00_AXI_arprot,
S00_AXI_arqos, S00_AXI_arvalid, S00_AXI_arready, S00_AXI_rid, S00_AXI_rdata, S00_AXI_rresp,
S00_AXI_rlast, S00_AXI_rvalid, S00_AXI_rready, M00_AXI_awaddr, M00_AXI_awlen,
M00_AXI_awsize, M00_AXI_awburst, M00_AXI_awlock, M00_AXI_awcache, M00_AXI_awprot,
M00_AXI_awqos, M00_AXI_awvalid, M00_AXI_awready, M00_AXI_wdata, M00_AXI_wstrb,
M00_AXI_wlast, M00_AXI_wvalid, M00_AXI_wready, M00_AXI_bresp, M00_AXI_bvalid,
M00_AXI_bready, M00_AXI_araddr, M00_AXI_arlen, M00_AXI_arsize, M00_AXI_arburst,
M00_AXI_arlock, M00_AXI_arcache, M00_AXI_arprot, M00_AXI_arqos, M00_AXI_arvalid,
M00_AXI_arready, M00_AXI_rdata, M00_AXI_rresp, M00_AXI_rlast, M00_AXI_rvalid,
M00_AXI_rready)
/* synthesis syn_black_box black_box_pad_pin="aclk,aresetn,S00_AXI_awid[3:0],S00_AXI_awaddr[63:0],S00_AXI_awlen[7:0],S00_AXI_awsize[2:0],S00_AXI_awburst[1:0],S00_AXI_awlock[0:0],S00_AXI_awcache[3:0],S00_AXI_awprot[2:0],S00_AXI_awqos[3:0],S00_AXI_awvalid,S00_AXI_awready,S00_AXI_wdata[63:0],S00_AXI_wstrb[7:0],S00_AXI_wlast,S00_AXI_wvalid,S00_AXI_wready,S00_AXI_bid[3:0],S00_AXI_bresp[1:0],S00_AXI_bvalid,S00_AXI_bready,S00_AXI_arid[3:0],S00_AXI_araddr[63:0],S00_AXI_arlen[7:0],S00_AXI_arsize[2:0],S00_AXI_arburst[1:0],S00_AXI_arlock[0:0],S00_AXI_arcache[3:0],S00_AXI_arprot[2:0],S00_AXI_arqos[3:0],S00_AXI_arvalid,S00_AXI_arready,S00_AXI_rid[3:0],S00_AXI_rdata[63:0],S00_AXI_rresp[1:0],S00_AXI_rlast,S00_AXI_rvalid,S00_AXI_rready,M00_AXI_awaddr[15:0],M00_AXI_awlen[7:0],M00_AXI_awsize[2:0],M00_AXI_awburst[1:0],M00_AXI_awlock[0:0],M00_AXI_awcache[3:0],M00_AXI_awprot[2:0],M00_AXI_awqos[3:0],M00_AXI_awvalid,M00_AXI_awready,M00_AXI_wdata[31:0],M00_AXI_wstrb[3:0],M00_AXI_wlast,M00_AXI_wvalid,M00_AXI_wready,M00_AXI_bresp[1:0],M00_AXI_bvalid,M00_AXI_bready,M00_AXI_araddr[15:0],M00_AXI_arlen[7:0],M00_AXI_arsize[2:0],M00_AXI_arburst[1:0],M00_AXI_arlock[0:0],M00_AXI_arcache[3:0],M00_AXI_arprot[2:0],M00_AXI_arqos[3:0],M00_AXI_arvalid,M00_AXI_arready,M00_AXI_rdata[31:0],M00_AXI_rresp[1:0],M00_AXI_rlast,M00_AXI_rvalid,M00_AXI_rready" */;
input aclk;
input aresetn;
input [3:0]S00_AXI_awid;
input [63:0]S00_AXI_awaddr;
input [7:0]S00_AXI_awlen;
input [2:0]S00_AXI_awsize;
input [1:0]S00_AXI_awburst;
input [0:0]S00_AXI_awlock;
input [3:0]S00_AXI_awcache;
input [2:0]S00_AXI_awprot;
input [3:0]S00_AXI_awqos;
input S00_AXI_awvalid;
output S00_AXI_awready;
input [63:0]S00_AXI_wdata;
input [7:0]S00_AXI_wstrb;
input S00_AXI_wlast;
input S00_AXI_wvalid;
output S00_AXI_wready;
output [3:0]S00_AXI_bid;
output [1:0]S00_AXI_bresp;
output S00_AXI_bvalid;
input S00_AXI_bready;
input [3:0]S00_AXI_arid;
input [63:0]S00_AXI_araddr;
input [7:0]S00_AXI_arlen;
input [2:0]S00_AXI_arsize;
input [1:0]S00_AXI_arburst;
input [0:0]S00_AXI_arlock;
input [3:0]S00_AXI_arcache;
input [2:0]S00_AXI_arprot;
input [3:0]S00_AXI_arqos;
input S00_AXI_arvalid;
output S00_AXI_arready;
output [3:0]S00_AXI_rid;
output [63:0]S00_AXI_rdata;
output [1:0]S00_AXI_rresp;
output S00_AXI_rlast;
output S00_AXI_rvalid;
input S00_AXI_rready;
output [15:0]M00_AXI_awaddr;
output [7:0]M00_AXI_awlen;
output [2:0]M00_AXI_awsize;
output [1:0]M00_AXI_awburst;
output [0:0]M00_AXI_awlock;
output [3:0]M00_AXI_awcache;
output [2:0]M00_AXI_awprot;
output [3:0]M00_AXI_awqos;
output M00_AXI_awvalid;
input M00_AXI_awready;
output [31:0]M00_AXI_wdata;
output [3:0]M00_AXI_wstrb;
output M00_AXI_wlast;
output M00_AXI_wvalid;
input M00_AXI_wready;
input [1:0]M00_AXI_bresp;
input M00_AXI_bvalid;
output M00_AXI_bready;
output [15:0]M00_AXI_araddr;
output [7:0]M00_AXI_arlen;
output [2:0]M00_AXI_arsize;
output [1:0]M00_AXI_arburst;
output [0:0]M00_AXI_arlock;
output [3:0]M00_AXI_arcache;
output [2:0]M00_AXI_arprot;
output [3:0]M00_AXI_arqos;
output M00_AXI_arvalid;
input M00_AXI_arready;
input [31:0]M00_AXI_rdata;
input [1:0]M00_AXI_rresp;
input M00_AXI_rlast;
input M00_AXI_rvalid;
output M00_AXI_rready;
endmodule

View file

@ -0,0 +1,101 @@
-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
-- Date : Tue Jun 24 20:47:42 2025
-- Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
-- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_axi_smc_0_stub.vhdl
-- Design : top_axi_smc_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a100tlfgg484-2L
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
Port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
S00_AXI_awid : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_awaddr : in STD_LOGIC_VECTOR ( 63 downto 0 );
S00_AXI_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S00_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S00_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_awlock : in STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S00_AXI_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_awvalid : in STD_LOGIC;
S00_AXI_awready : out STD_LOGIC;
S00_AXI_wdata : in STD_LOGIC_VECTOR ( 63 downto 0 );
S00_AXI_wstrb : in STD_LOGIC_VECTOR ( 7 downto 0 );
S00_AXI_wlast : in STD_LOGIC;
S00_AXI_wvalid : in STD_LOGIC;
S00_AXI_wready : out STD_LOGIC;
S00_AXI_bid : out STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_bvalid : out STD_LOGIC;
S00_AXI_bready : in STD_LOGIC;
S00_AXI_arid : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_araddr : in STD_LOGIC_VECTOR ( 63 downto 0 );
S00_AXI_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S00_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S00_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_arlock : in STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S00_AXI_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_arvalid : in STD_LOGIC;
S00_AXI_arready : out STD_LOGIC;
S00_AXI_rid : out STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_rdata : out STD_LOGIC_VECTOR ( 63 downto 0 );
S00_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_rlast : out STD_LOGIC;
S00_AXI_rvalid : out STD_LOGIC;
S00_AXI_rready : in STD_LOGIC;
M00_AXI_awaddr : out STD_LOGIC_VECTOR ( 15 downto 0 );
M00_AXI_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
M00_AXI_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
M00_AXI_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
M00_AXI_awlock : out STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
M00_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M00_AXI_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
M00_AXI_awvalid : out STD_LOGIC;
M00_AXI_awready : in STD_LOGIC;
M00_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M00_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
M00_AXI_wlast : out STD_LOGIC;
M00_AXI_wvalid : out STD_LOGIC;
M00_AXI_wready : in STD_LOGIC;
M00_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M00_AXI_bvalid : in STD_LOGIC;
M00_AXI_bready : out STD_LOGIC;
M00_AXI_araddr : out STD_LOGIC_VECTOR ( 15 downto 0 );
M00_AXI_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
M00_AXI_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
M00_AXI_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
M00_AXI_arlock : out STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
M00_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M00_AXI_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
M00_AXI_arvalid : out STD_LOGIC;
M00_AXI_arready : in STD_LOGIC;
M00_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M00_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M00_AXI_rlast : in STD_LOGIC;
M00_AXI_rvalid : in STD_LOGIC;
M00_AXI_rready : out STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "aclk,aresetn,S00_AXI_awid[3:0],S00_AXI_awaddr[63:0],S00_AXI_awlen[7:0],S00_AXI_awsize[2:0],S00_AXI_awburst[1:0],S00_AXI_awlock[0:0],S00_AXI_awcache[3:0],S00_AXI_awprot[2:0],S00_AXI_awqos[3:0],S00_AXI_awvalid,S00_AXI_awready,S00_AXI_wdata[63:0],S00_AXI_wstrb[7:0],S00_AXI_wlast,S00_AXI_wvalid,S00_AXI_wready,S00_AXI_bid[3:0],S00_AXI_bresp[1:0],S00_AXI_bvalid,S00_AXI_bready,S00_AXI_arid[3:0],S00_AXI_araddr[63:0],S00_AXI_arlen[7:0],S00_AXI_arsize[2:0],S00_AXI_arburst[1:0],S00_AXI_arlock[0:0],S00_AXI_arcache[3:0],S00_AXI_arprot[2:0],S00_AXI_arqos[3:0],S00_AXI_arvalid,S00_AXI_arready,S00_AXI_rid[3:0],S00_AXI_rdata[63:0],S00_AXI_rresp[1:0],S00_AXI_rlast,S00_AXI_rvalid,S00_AXI_rready,M00_AXI_awaddr[15:0],M00_AXI_awlen[7:0],M00_AXI_awsize[2:0],M00_AXI_awburst[1:0],M00_AXI_awlock[0:0],M00_AXI_awcache[3:0],M00_AXI_awprot[2:0],M00_AXI_awqos[3:0],M00_AXI_awvalid,M00_AXI_awready,M00_AXI_wdata[31:0],M00_AXI_wstrb[3:0],M00_AXI_wlast,M00_AXI_wvalid,M00_AXI_wready,M00_AXI_bresp[1:0],M00_AXI_bvalid,M00_AXI_bready,M00_AXI_araddr[15:0],M00_AXI_arlen[7:0],M00_AXI_arsize[2:0],M00_AXI_arburst[1:0],M00_AXI_arlock[0:0],M00_AXI_arcache[3:0],M00_AXI_arprot[2:0],M00_AXI_arqos[3:0],M00_AXI_arvalid,M00_AXI_arready,M00_AXI_rdata[31:0],M00_AXI_rresp[1:0],M00_AXI_rlast,M00_AXI_rvalid,M00_AXI_rready";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of stub : architecture is "bd_b43a,Vivado 2022.1";
begin
end;

View file

@ -0,0 +1,55 @@
<?xml version="1.0" encoding="UTF-8"?>
<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
<spirit:vendor>xilinx.com</spirit:vendor>
<spirit:library>ipcache</spirit:library>
<spirit:name>1a90a12e8a486c32</spirit:name>
<spirit:version>0</spirit:version>
<spirit:componentInstances>
<spirit:componentInstance>
<spirit:instanceName>top_axi_gpio_1_0</spirit:instanceName>
<spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="axi_gpio" spirit:version="2.0"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.FREQ_HZ">125000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_ACLK.FREQ_HZ">125000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_ALL_INPUTS">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_ALL_INPUTS_2">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_ALL_OUTPUTS">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_ALL_OUTPUTS_2">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_DOUT_DEFAULT">0x00000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_DOUT_DEFAULT_2">0x00000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_GPIO2_WIDTH">32</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_GPIO_WIDTH">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_INTERRUPT_PRESENT">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_IS_DUAL">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_TRI_DEFAULT">0xFFFFFFFF</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_TRI_DEFAULT_2">0xFFFFFFFF</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">top_axi_gpio_1_0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.GPIO2_BOARD_INTERFACE">Custom</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.GPIO_BOARD_INTERFACE">Custom</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_BOARD_FLOW">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">artix7</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7a100tl</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">fgg484</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VERILOG</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-2L</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.STATIC_POWER"/>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE">E</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHEID">1a90a12e8a486c32</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHCL">$Change: 3513466 $</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHCRC">a0bc1b9c</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHRUNTIME">46</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Unknown</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">28</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2022.1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">GLOBAL</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
</spirit:componentInstances>
</spirit:design>

File diff suppressed because it is too large Load diff

File diff suppressed because it is too large Load diff

View file

@ -0,0 +1,42 @@
// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
// --------------------------------------------------------------------------------
// Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
// Date : Thu Jun 26 02:23:38 2025
// Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
// Command : write_verilog -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
// decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_axi_gpio_1_0_stub.v
// Design : top_axi_gpio_1_0
// Purpose : Stub declaration of top-level module interface
// Device : xc7a100tlfgg484-2L
// --------------------------------------------------------------------------------
// This empty module with port declaration file causes synthesis tools to infer a black box for IP.
// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion.
// Please paste the declaration into a Verilog source file or add the file as an additional source.
(* x_core_info = "axi_gpio,Vivado 2022.1" *)
module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix(s_axi_aclk, s_axi_aresetn, s_axi_awaddr,
s_axi_awvalid, s_axi_awready, s_axi_wdata, s_axi_wstrb, s_axi_wvalid, s_axi_wready,
s_axi_bresp, s_axi_bvalid, s_axi_bready, s_axi_araddr, s_axi_arvalid, s_axi_arready,
s_axi_rdata, s_axi_rresp, s_axi_rvalid, s_axi_rready, gpio_io_i)
/* synthesis syn_black_box black_box_pad_pin="s_axi_aclk,s_axi_aresetn,s_axi_awaddr[8:0],s_axi_awvalid,s_axi_awready,s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wvalid,s_axi_wready,s_axi_bresp[1:0],s_axi_bvalid,s_axi_bready,s_axi_araddr[8:0],s_axi_arvalid,s_axi_arready,s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rvalid,s_axi_rready,gpio_io_i[1:0]" */;
input s_axi_aclk;
input s_axi_aresetn;
input [8:0]s_axi_awaddr;
input s_axi_awvalid;
output s_axi_awready;
input [31:0]s_axi_wdata;
input [3:0]s_axi_wstrb;
input s_axi_wvalid;
output s_axi_wready;
output [1:0]s_axi_bresp;
output s_axi_bvalid;
input s_axi_bready;
input [8:0]s_axi_araddr;
input s_axi_arvalid;
output s_axi_arready;
output [31:0]s_axi_rdata;
output [1:0]s_axi_rresp;
output s_axi_rvalid;
input s_axi_rready;
input [1:0]gpio_io_i;
endmodule

View file

@ -0,0 +1,49 @@
-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
-- Date : Thu Jun 26 02:23:38 2025
-- Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
-- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_axi_gpio_1_0_stub.vhdl
-- Design : top_axi_gpio_1_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a100tlfgg484-2L
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
Port (
s_axi_aclk : in STD_LOGIC;
s_axi_aresetn : in STD_LOGIC;
s_axi_awaddr : in STD_LOGIC_VECTOR ( 8 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_araddr : in STD_LOGIC_VECTOR ( 8 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
gpio_io_i : in STD_LOGIC_VECTOR ( 1 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "s_axi_aclk,s_axi_aresetn,s_axi_awaddr[8:0],s_axi_awvalid,s_axi_awready,s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wvalid,s_axi_wready,s_axi_bresp[1:0],s_axi_bvalid,s_axi_bready,s_axi_araddr[8:0],s_axi_arvalid,s_axi_arready,s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rvalid,s_axi_rready,gpio_io_i[1:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "axi_gpio,Vivado 2022.1";
begin
end;

View file

@ -0,0 +1,64 @@
<?xml version="1.0" encoding="UTF-8"?>
<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
<spirit:vendor>xilinx.com</spirit:vendor>
<spirit:library>ipcache</spirit:library>
<spirit:name>248bbb688066a644</spirit:name>
<spirit:version>0</spirit:version>
<spirit:componentInstances>
<spirit:componentInstance>
<spirit:instanceName>top_c_addsub_0_1</spirit:instanceName>
<spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="c_addsub" spirit:version="12.0"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLK_INTF.FREQ_HZ">100000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AINIT_Value">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.A_Type">Signed</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.A_Width">32</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Add_Mode">Add</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.B_Constant">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.B_Type">Signed</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.B_Value">000000000000001</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.B_Width">15</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Borrow_Sense">Active_Low</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Bypass">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Bypass_CE_Priority">CE_Overrides_Bypass</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Bypass_Sense">Active_High</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CE">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_In">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_Out">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">top_c_addsub_0_1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Implementation">Fabric</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Latency">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Latency_Configuration">Manual</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Out_Width">32</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SCLR">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SINIT">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SINIT_Value">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SSET">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Sync_CE_Priority">Sync_Overrides_CE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Sync_Ctrl_Priority">Reset_Overrides_Set</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">artix7</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7a100tl</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">fgg484</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VERILOG</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-2L</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.STATIC_POWER"/>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE">E</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHEID">248bbb688066a644</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHCL">$Change: 3513466 $</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHCRC">92af31e3</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHRUNTIME">37</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Unknown</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">14</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2022.1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">GLOBAL</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
</spirit:componentInstances>
</spirit:design>

View file

@ -0,0 +1,419 @@
// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
// --------------------------------------------------------------------------------
// Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
// Date : Tue Jun 24 20:48:40 2025
// Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
// Command : write_verilog -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
// decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_c_addsub_0_1_sim_netlist.v
// Design : top_c_addsub_0_1
// Purpose : This verilog netlist is a functional simulation representation of the design and should not be modified
// or synthesized. This netlist cannot be used for SDF annotated simulation.
// Device : xc7a100tlfgg484-2L
// --------------------------------------------------------------------------------
`timescale 1 ps / 1 ps
(* CHECK_LICENSE_TYPE = "top_c_addsub_0_1,c_addsub_v12_0_14,{}" *) (* downgradeipidentifiedwarnings = "yes" *) (* x_core_info = "c_addsub_v12_0_14,Vivado 2022.1" *)
(* NotValidForBitStream *)
module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix
(A,
S);
(* x_interface_info = "xilinx.com:signal:data:1.0 a_intf DATA" *) (* x_interface_parameter = "XIL_INTERFACENAME a_intf, LAYERED_METADATA undef" *) input [31:0]A;
(* x_interface_info = "xilinx.com:signal:data:1.0 s_intf DATA" *) (* x_interface_parameter = "XIL_INTERFACENAME s_intf, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {DATA {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value data} bitwidth {attribs {resolve_type generated dependency bitwidth format long minimum {} maximum {}} value 32} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0} integer {signed {attribs {resolve_type generated dependency signed format bool minimum {} maximum {}} value TRUE}}}} DATA_WIDTH 32}" *) output [31:0]S;
wire [31:0]A;
wire [31:0]S;
wire NLW_U0_C_OUT_UNCONNECTED;
(* C_BORROW_LOW = "1" *)
(* C_CE_OVERRIDES_BYPASS = "1" *)
(* C_CE_OVERRIDES_SCLR = "0" *)
(* C_IMPLEMENTATION = "0" *)
(* C_SCLR_OVERRIDES_SSET = "1" *)
(* C_VERBOSITY = "0" *)
(* C_XDEVICEFAMILY = "artix7l" *)
(* KEEP_HIERARCHY = "soft" *)
(* c_a_type = "0" *)
(* c_a_width = "32" *)
(* c_add_mode = "0" *)
(* c_ainit_val = "0" *)
(* c_b_constant = "1" *)
(* c_b_type = "0" *)
(* c_b_value = "000000000000001" *)
(* c_b_width = "15" *)
(* c_bypass_low = "0" *)
(* c_has_bypass = "0" *)
(* c_has_c_in = "0" *)
(* c_has_c_out = "0" *)
(* c_has_ce = "0" *)
(* c_has_sclr = "0" *)
(* c_has_sinit = "0" *)
(* c_has_sset = "0" *)
(* c_latency = "0" *)
(* c_out_width = "32" *)
(* c_sinit_val = "0" *)
(* downgradeipidentifiedwarnings = "yes" *)
(* is_du_within_envelope = "true" *)
decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_c_addsub_v12_0_14 U0
(.A(A),
.ADD(1'b1),
.B({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
.BYPASS(1'b0),
.CE(1'b1),
.CLK(1'b0),
.C_IN(1'b0),
.C_OUT(NLW_U0_C_OUT_UNCONNECTED),
.S(S),
.SCLR(1'b0),
.SINIT(1'b0),
.SSET(1'b0));
endmodule
`pragma protect begin_protected
`pragma protect version = 1
`pragma protect encrypt_agent = "XILINX"
`pragma protect encrypt_agent_info = "Xilinx Encryption Tool 2022.1"
`pragma protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-2", key_method="rsa"
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`pragma protect key_block
pOoCy2lYSLdoKW5+30MbT0kuTiRSJJ4jG7/RQeB9eRVWQwL+y1zWMiA09vc76Tm9Rm62Cxs2gO7D
cjnDEBDTj9JD9pG0UZ4qvjorK7qe6ttWdmBnoDWg7u86SxcI9hFq/+WSIIz2nufv18Jw1CdSZZOy
fCT9rx9380uRhjUSqF4=
`pragma protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa"
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`pragma protect key_block
w0+6vlnZpk2rtsD4bdd9LWwLOAaGlFa69N2rasBQ5mfULBHdtMEL9pZ+aHU222MNGvYQsqWvMFAX
ZesYGDQv4e1JRb1BpT/jMo86E62GcoY5Sma8x/T4td4zjf0tiKaBP1Veutb3G2ureWf4YyEtS8Kg
0PbkWpeGMRgAOQdiRWzcZPYx3TUExmrrpy9HrfwUmeKPRnrLj5W77hmCzmmY/53V9Md+OUxZ1ud9
ra8U2X1hpvTj8Igqf7zkxVLE84R1u3R0xstKE7AwHtdQLmfOOTdMaIeA0cXdV4Fq+KbQfLKFpCch
DBJGJEKdw9bsv3LDnU2dwusgZdqMlPyniUhHIw==
`pragma protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VELOCE-RSA", key_method="rsa"
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`pragma protect key_block
ltA4GqALLdRzzHvj261Uwyntak7z4EkFduT9K3QGtHb0sATa3ybVqK8ZI4jQfsAU7X0LxSWB4LoB
EFa45I2fE9dxusPz3iWXrfp4gx+koM3MHUXxrPW4jG2EucHFZqktvn1Le6uXQTfIURspnbJdYYLN
gfsKiiF0MyJaAIqneyk=
`pragma protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-2", key_method="rsa"
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`pragma protect key_block
FfEP5FVX1Ax5kxu3ZOO1vQ9h+VBDpOGG5H86yMu1QcxnGqo9gnUM01F3P96BdUFjnV725KGMIQni
FqRDoY3T9Ab12xgASphiapofR3LD5psN/JEAIwqfr0LAp+qbJG9vsC++s2ZWr5bT40BWrvc2jxSi
Mi9YGtaFzL/QmiZd5c8rctXA06pFCKZTPrpVbHHVLIxt3wRGSfzPS5Adi6/wI6QDGsNH6a/h9Rtx
LuJWu70Te3UtGxU4L6xsNyvbBZ4CCFz0dL447PvB0CTDucB/adAFHdWo0DhlvfzkmkoGEAhixHmj
AoxUm5dvM43CGcaupKLSyc0TidiSEq+GR7l2NQ==
`pragma protect key_keyowner="Real Intent", key_keyname="RI-RSA-KEY-1", key_method="rsa"
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`pragma protect key_block
ZQjvUbsJRfCx35iNqwoFQmy1CIf6Coiu+UjavEdAZwIWsKthBwuj7XZZrMuAVlWaw7ztRQITxIW9
joM5AKjSyOnQP0f19GFwzJf84AeawIF3W9rKLzDq3SOmO06CNxp31zfLk/c+P2Aynl/Jtva1fUn+
ZF04qq8HtC+QFVbXW41MZFd1Q/xtg+Oldf7hPUXUSbzn733pCcjZe3zSpulIMxkV5fk6YIh0+pJe
FvtHzhcQPf+iDQm82ToezAiqE2VGuquf6pVU84b/8tWxFyqvKnXHdfXGLEBP/P8+ifSgyJsyvbli
DuFRBrF+POpxQ584I5j7CL45AdM45WR9270K2w==
`pragma protect key_keyowner="Xilinx", key_keyname="xilinxt_2021_07", key_method="rsa"
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`pragma protect key_block
I8jEzvdjBEX5gYqZOZMUoavSPVwkkhEzNXKZi6Gv+UZEzEyUPN1l9Jdmm/OohZWWJebb0ecKEuTu
VzUnDYkQifscYwKLjT++bhkfP4fHR6IIJnlOajPL1U2absc717Uq65HLaP0ISJfczzo4S5Mq4/hU
Rj95X70zIG4pYiyaOllA1V+RNsA8rd8f45qhX1XuzYpufo4yOkEdXFfuzY1bDq2nK2OMRH+4TOXw
XMXsCKqNTpsyaEsutNj+rZ7eONFsaOylKo5ofdpJ7IxWzO1aaCcYaqmbNCpkUqe5RQvLE4FuE8Nc
kmvAvUj3g/pJm2VGGdJ2nOsn2uyD9ptFCVK/nQ==
`pragma protect key_keyowner="Metrics Technologies Inc.", key_keyname="DSim", key_method="rsa"
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`pragma protect key_block
SEnqVTEa7lkg72h4bpTlKL4fhEuzRqr3rTaNC4OrFZR9Cmrlss8ucbtz4kUEBRUrIzx8ZMZ08aDm
ncvKMpDrsOi59zmzvYyoJ1TK502awdtp+k9xaIVQ0qsM1TxTMEiq6w388MnoJjwOZ7BhuBa1GHgd
Dx/0z+9+rmVCuHs+HULZnwjMyc6gfx7LRVbLQPb0S4Oh9i+rXperDiv5N7FQeNdfsDeRCLVRB8U+
OU60liE0nqP2X7bte91esX0nspE9bMEb88nopvRxFTNBXG/PoJoQvlFjrUXWbVELwUtfC4YAkcvq
eB2RVDysb8DuzJ1PztoiUPf6FAvw6WhfDouB4A==
`pragma protect key_keyowner="Atrenta", key_keyname="ATR-SG-RSA-1", key_method="rsa"
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=384)
`pragma protect key_block
k8QHc8h8draQ9agAUUfM6s9ta/pxWprdP2NhBlzOaFB4j4J1MHoYvPuMlWY3IJ+53iC58d58V0KQ
DSf99XKI/DpI43M7z3Rgp6WKRkU72yQMB/tXQk3sQKHKE6DVb5qPkMC13hq+bkDwfv5JMbQX9lwx
tWwloAcpFCR03nGPXqH3V+FDys7YFNmWUI7F6BYxX4W4/4feSosYPgETUP5gLQPnK2SXr1PUeuuW
7UIFcKUwBjx7S6gYUPq3hlO6AFN7ync67eZp8N1tozzGnF23XCk7gUU6srDt2QOWbA0sBL6TTsrI
WW1ADpBsIdstAGiZnMRRGDeA6rN2JiwulhuiWHQAuKSkUSbuIT6uPRmlnd31uhSh7aTrvogfUJSz
uGNb/TAHJkpHm4yL40iI8yO7JTcwLuy2ahdpE16AMDfZJFU4IGouo/H5+p6SO+jvzHZYkIWZusPm
nBK++GQUzk/PMlSRT0cCTnxIgVAFToP96NqnZtn2E47iYToxig/+YKBy
`pragma protect key_keyowner="Cadence Design Systems.", key_keyname="CDS_RSA_KEY_VER_1", key_method="rsa"
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`pragma protect key_block
LAw56NZhBnNhisjUaakOb87L4sBFj31jlxTUnVSf9FfOIV53do249b3JPp4K8xGqQsYrn2pUQ4U5
FOdo/y2m6aKiAdn9UA1Nx3HHK46586WxtcSd74xxYlIKJMy+xE1yeJIeWoC/+HvDmglnTzt9aJaA
6XKocO7esAOu13fafAa/D4l+73oqYb+v1wIcK34mj43NqEWg+qxUVG5vj9kDrx0l72qkTMtQeCMZ
j9Rr2RJ9uMO8H71F9qVSbAIun5zjMh+cd29z54TJZTanzFEdpk6UmY6Fopv5VuIykHN10J8fpmUH
HEQQJDGCCq33NL6UiradkAd+1GvEk6iYZmweRA==
`pragma protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-PREC-RSA", key_method="rsa"
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`pragma protect key_block
p8tujkO3AKVAFA8wxRFnVpLbVrTwmXNSxiHs+wHafj2dh9qsyP9xt74QbDqnTnlfjETsNFM8do5B
Mor1hD8e/8+lwhmYoTrV+DY6v/nZn8pYhds3pi3KBMoyc+GaiExedgYtPQcF/Uy3GwUeV/Y3WknY
am8R/wkbnAREq3KaOmeFvxZlX9DHbiFRHOCDWWsOIczZf7fShrbFDqC0ZxraMZr6iW9EkSiYoU+x
vEgw1xWb4uwdizjpZVEMmBbAPTf94JMuCvX7L3zrVSHxAVvddfLSj4HarsiiAxxHi1SX18FbKdCN
4fCHIssgRiOiak3AYVDtBvfwbKt3egMCrgjS7w==
`pragma protect key_keyowner="Synplicity", key_keyname="SYNP15_1", key_method="rsa"
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`pragma protect key_block
PLWj879kmAOVR2+zkUBvK7bxfLqzjimB/57TIlGJtObgltuIDUK/PznFp0qUGaOSJqLkPY+yosLz
4xTvhwihal9hfsCMnYf2yTpehbYjpaM57BhNRgEumqRQTWUrLNT9ejYdvSt2ctqxp34HhWrfdmpW
u6BPfMihveCUXmispk3YyqOMBCxcuEe77iJHPqy5EX4X72uNtnoT42JYsGSIBtr1CgR+6rBalX9r
eEORhj6wEMgEcxgIRjtAZmLv8sY4YipV3+pJVlgrYZBoMlafbGThPwvH6g86RHAg8kMIj42xGa7P
adgUSyE2qtM2byF4PiIuX7jq0PCSA8JT5xjcEg==
`pragma protect data_method = "AES128-CBC"
`pragma protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9184)
`pragma protect data_block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`pragma protect end_protected
`ifndef GLBL
`define GLBL
`timescale 1 ps / 1 ps
module glbl ();
parameter ROC_WIDTH = 100000;
parameter TOC_WIDTH = 0;
parameter GRES_WIDTH = 10000;
parameter GRES_START = 10000;
//-------- STARTUP Globals --------------
wire GSR;
wire GTS;
wire GWE;
wire PRLD;
wire GRESTORE;
tri1 p_up_tmp;
tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
wire PROGB_GLBL;
wire CCLKO_GLBL;
wire FCSBO_GLBL;
wire [3:0] DO_GLBL;
wire [3:0] DI_GLBL;
reg GSR_int;
reg GTS_int;
reg PRLD_int;
reg GRESTORE_int;
//-------- JTAG Globals --------------
wire JTAG_TDO_GLBL;
wire JTAG_TCK_GLBL;
wire JTAG_TDI_GLBL;
wire JTAG_TMS_GLBL;
wire JTAG_TRST_GLBL;
reg JTAG_CAPTURE_GLBL;
reg JTAG_RESET_GLBL;
reg JTAG_SHIFT_GLBL;
reg JTAG_UPDATE_GLBL;
reg JTAG_RUNTEST_GLBL;
reg JTAG_SEL1_GLBL = 0;
reg JTAG_SEL2_GLBL = 0 ;
reg JTAG_SEL3_GLBL = 0;
reg JTAG_SEL4_GLBL = 0;
reg JTAG_USER_TDO1_GLBL = 1'bz;
reg JTAG_USER_TDO2_GLBL = 1'bz;
reg JTAG_USER_TDO3_GLBL = 1'bz;
reg JTAG_USER_TDO4_GLBL = 1'bz;
assign (strong1, weak0) GSR = GSR_int;
assign (strong1, weak0) GTS = GTS_int;
assign (weak1, weak0) PRLD = PRLD_int;
assign (strong1, weak0) GRESTORE = GRESTORE_int;
initial begin
GSR_int = 1'b1;
PRLD_int = 1'b1;
#(ROC_WIDTH)
GSR_int = 1'b0;
PRLD_int = 1'b0;
end
initial begin
GTS_int = 1'b1;
#(TOC_WIDTH)
GTS_int = 1'b0;
end
initial begin
GRESTORE_int = 1'b0;
#(GRES_START);
GRESTORE_int = 1'b1;
#(GRES_WIDTH);
GRESTORE_int = 1'b0;
end
endmodule
`endif

View file

@ -0,0 +1,610 @@
-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
-- Date : Tue Jun 24 20:48:40 2025
-- Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_c_addsub_0_1_sim_netlist.vhdl
-- Design : top_c_addsub_0_1
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7a100tlfgg484-2L
-- --------------------------------------------------------------------------------
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2022.1"
`protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-2", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`protect key_block
pOoCy2lYSLdoKW5+30MbT0kuTiRSJJ4jG7/RQeB9eRVWQwL+y1zWMiA09vc76Tm9Rm62Cxs2gO7D
cjnDEBDTj9JD9pG0UZ4qvjorK7qe6ttWdmBnoDWg7u86SxcI9hFq/+WSIIz2nufv18Jw1CdSZZOy
fCT9rx9380uRhjUSqF4=
`protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
w0+6vlnZpk2rtsD4bdd9LWwLOAaGlFa69N2rasBQ5mfULBHdtMEL9pZ+aHU222MNGvYQsqWvMFAX
ZesYGDQv4e1JRb1BpT/jMo86E62GcoY5Sma8x/T4td4zjf0tiKaBP1Veutb3G2ureWf4YyEtS8Kg
0PbkWpeGMRgAOQdiRWzcZPYx3TUExmrrpy9HrfwUmeKPRnrLj5W77hmCzmmY/53V9Md+OUxZ1ud9
ra8U2X1hpvTj8Igqf7zkxVLE84R1u3R0xstKE7AwHtdQLmfOOTdMaIeA0cXdV4Fq+KbQfLKFpCch
DBJGJEKdw9bsv3LDnU2dwusgZdqMlPyniUhHIw==
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VELOCE-RSA", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`protect key_block
ltA4GqALLdRzzHvj261Uwyntak7z4EkFduT9K3QGtHb0sATa3ybVqK8ZI4jQfsAU7X0LxSWB4LoB
EFa45I2fE9dxusPz3iWXrfp4gx+koM3MHUXxrPW4jG2EucHFZqktvn1Le6uXQTfIURspnbJdYYLN
gfsKiiF0MyJaAIqneyk=
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-2", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
FfEP5FVX1Ax5kxu3ZOO1vQ9h+VBDpOGG5H86yMu1QcxnGqo9gnUM01F3P96BdUFjnV725KGMIQni
FqRDoY3T9Ab12xgASphiapofR3LD5psN/JEAIwqfr0LAp+qbJG9vsC++s2ZWr5bT40BWrvc2jxSi
Mi9YGtaFzL/QmiZd5c8rctXA06pFCKZTPrpVbHHVLIxt3wRGSfzPS5Adi6/wI6QDGsNH6a/h9Rtx
LuJWu70Te3UtGxU4L6xsNyvbBZ4CCFz0dL447PvB0CTDucB/adAFHdWo0DhlvfzkmkoGEAhixHmj
AoxUm5dvM43CGcaupKLSyc0TidiSEq+GR7l2NQ==
`protect key_keyowner="Real Intent", key_keyname="RI-RSA-KEY-1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
ZQjvUbsJRfCx35iNqwoFQmy1CIf6Coiu+UjavEdAZwIWsKthBwuj7XZZrMuAVlWaw7ztRQITxIW9
joM5AKjSyOnQP0f19GFwzJf84AeawIF3W9rKLzDq3SOmO06CNxp31zfLk/c+P2Aynl/Jtva1fUn+
ZF04qq8HtC+QFVbXW41MZFd1Q/xtg+Oldf7hPUXUSbzn733pCcjZe3zSpulIMxkV5fk6YIh0+pJe
FvtHzhcQPf+iDQm82ToezAiqE2VGuquf6pVU84b/8tWxFyqvKnXHdfXGLEBP/P8+ifSgyJsyvbli
DuFRBrF+POpxQ584I5j7CL45AdM45WR9270K2w==
`protect key_keyowner="Xilinx", key_keyname="xilinxt_2021_07", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
I8jEzvdjBEX5gYqZOZMUoavSPVwkkhEzNXKZi6Gv+UZEzEyUPN1l9Jdmm/OohZWWJebb0ecKEuTu
VzUnDYkQifscYwKLjT++bhkfP4fHR6IIJnlOajPL1U2absc717Uq65HLaP0ISJfczzo4S5Mq4/hU
Rj95X70zIG4pYiyaOllA1V+RNsA8rd8f45qhX1XuzYpufo4yOkEdXFfuzY1bDq2nK2OMRH+4TOXw
XMXsCKqNTpsyaEsutNj+rZ7eONFsaOylKo5ofdpJ7IxWzO1aaCcYaqmbNCpkUqe5RQvLE4FuE8Nc
kmvAvUj3g/pJm2VGGdJ2nOsn2uyD9ptFCVK/nQ==
`protect key_keyowner="Metrics Technologies Inc.", key_keyname="DSim", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
SEnqVTEa7lkg72h4bpTlKL4fhEuzRqr3rTaNC4OrFZR9Cmrlss8ucbtz4kUEBRUrIzx8ZMZ08aDm
ncvKMpDrsOi59zmzvYyoJ1TK502awdtp+k9xaIVQ0qsM1TxTMEiq6w388MnoJjwOZ7BhuBa1GHgd
Dx/0z+9+rmVCuHs+HULZnwjMyc6gfx7LRVbLQPb0S4Oh9i+rXperDiv5N7FQeNdfsDeRCLVRB8U+
OU60liE0nqP2X7bte91esX0nspE9bMEb88nopvRxFTNBXG/PoJoQvlFjrUXWbVELwUtfC4YAkcvq
eB2RVDysb8DuzJ1PztoiUPf6FAvw6WhfDouB4A==
`protect key_keyowner="Atrenta", key_keyname="ATR-SG-RSA-1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=384)
`protect key_block
k8QHc8h8draQ9agAUUfM6s9ta/pxWprdP2NhBlzOaFB4j4J1MHoYvPuMlWY3IJ+53iC58d58V0KQ
DSf99XKI/DpI43M7z3Rgp6WKRkU72yQMB/tXQk3sQKHKE6DVb5qPkMC13hq+bkDwfv5JMbQX9lwx
tWwloAcpFCR03nGPXqH3V+FDys7YFNmWUI7F6BYxX4W4/4feSosYPgETUP5gLQPnK2SXr1PUeuuW
7UIFcKUwBjx7S6gYUPq3hlO6AFN7ync67eZp8N1tozzGnF23XCk7gUU6srDt2QOWbA0sBL6TTsrI
WW1ADpBsIdstAGiZnMRRGDeA6rN2JiwulhuiWHQAuKSkUSbuIT6uPRmlnd31uhSh7aTrvogfUJSz
uGNb/TAHJkpHm4yL40iI8yO7JTcwLuy2ahdpE16AMDfZJFU4IGouo/H5+p6SO+jvzHZYkIWZusPm
nBK++GQUzk/PMlSRT0cCTnxIgVAFToP96NqnZtn2E47iYToxig/+YKBy
`protect key_keyowner="Cadence Design Systems.", key_keyname="CDS_RSA_KEY_VER_1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
LAw56NZhBnNhisjUaakOb87L4sBFj31jlxTUnVSf9FfOIV53do249b3JPp4K8xGqQsYrn2pUQ4U5
FOdo/y2m6aKiAdn9UA1Nx3HHK46586WxtcSd74xxYlIKJMy+xE1yeJIeWoC/+HvDmglnTzt9aJaA
6XKocO7esAOu13fafAa/D4l+73oqYb+v1wIcK34mj43NqEWg+qxUVG5vj9kDrx0l72qkTMtQeCMZ
j9Rr2RJ9uMO8H71F9qVSbAIun5zjMh+cd29z54TJZTanzFEdpk6UmY6Fopv5VuIykHN10J8fpmUH
HEQQJDGCCq33NL6UiradkAd+1GvEk6iYZmweRA==
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-PREC-RSA", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
hcbsRS8s+1VCoZqmqdH7F+9OaVNqR9Eg3WZN2ckkykL8OSa0/aCG0oHw/BrgLcTgJSxWqERoTbF8
dL2/xJnZ1TCAduH9n85acokzaVVWmwYHKs4T7QJzF5lMkETopqfj/ktp6FWJbTfG72ughOSLjF7C
e0kErKHGKzgHl0o525Z9eck0nS9bxBUjAvrVIMux/QbtxrklgEp2az5ZUuBfSFYCQH3ALvLfAkAo
x3eD8730cz81AUuDoqq2qkzyJoA4xtSV4LTcx2fqGcw+iujp71Ncyh5zSKZHdiHt+wEYtpWIilqR
e3oJ2JIi3F/8tHele5h41DwEsJRWLwRvVLOYkg==
`protect key_keyowner="Synplicity", key_keyname="SYNP15_1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
CLDdudlVvH808eWvkpQmd217eS6Xl6CWfwF51h2mKmnIthvWQ6Fuw8OcpOv9ETUx6rgE4WlYmWCJ
NIQfjewU840WElmI2vxV9r+RnwzhWMP3XGoKOOgluwxepk0cdxyw/WSUUQ3AoMGu0nzO1bZ2+zXt
SAV7MGZYr/kCd99IL9nmMgH2fklnfdZ4pNn+XZlU9L10sTmH1VZBgEaqv3fF1SYFC3kvJzZePm9O
+SgeGtEl1MGY1eJo7ZUw8NNHa0gdzryn9KRJsVUjkxHAsF/DwKM4uH0yElcvDyelhD9axySD9xia
n6yL/aaQkgOvm0jF0Va4NhwTVMG7oWj4pMxoFw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22336)
`protect data_block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==
`protect end_protected
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
port (
A : in STD_LOGIC_VECTOR ( 31 downto 0 );
S : out STD_LOGIC_VECTOR ( 31 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "top_c_addsub_0_1,c_addsub_v12_0_14,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "c_addsub_v12_0_14,Vivado 2022.1";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
signal NLW_U0_C_OUT_UNCONNECTED : STD_LOGIC;
attribute C_BORROW_LOW : integer;
attribute C_BORROW_LOW of U0 : label is 1;
attribute C_CE_OVERRIDES_BYPASS : integer;
attribute C_CE_OVERRIDES_BYPASS of U0 : label is 1;
attribute C_CE_OVERRIDES_SCLR : integer;
attribute C_CE_OVERRIDES_SCLR of U0 : label is 0;
attribute C_IMPLEMENTATION : integer;
attribute C_IMPLEMENTATION of U0 : label is 0;
attribute C_SCLR_OVERRIDES_SSET : integer;
attribute C_SCLR_OVERRIDES_SSET of U0 : label is 1;
attribute C_VERBOSITY : integer;
attribute C_VERBOSITY of U0 : label is 0;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of U0 : label is "artix7l";
attribute KEEP_HIERARCHY : string;
attribute KEEP_HIERARCHY of U0 : label is "soft";
attribute c_a_type : integer;
attribute c_a_type of U0 : label is 0;
attribute c_a_width : integer;
attribute c_a_width of U0 : label is 32;
attribute c_add_mode : integer;
attribute c_add_mode of U0 : label is 0;
attribute c_ainit_val : string;
attribute c_ainit_val of U0 : label is "0";
attribute c_b_constant : integer;
attribute c_b_constant of U0 : label is 1;
attribute c_b_type : integer;
attribute c_b_type of U0 : label is 0;
attribute c_b_value : string;
attribute c_b_value of U0 : label is "000000000000001";
attribute c_b_width : integer;
attribute c_b_width of U0 : label is 15;
attribute c_bypass_low : integer;
attribute c_bypass_low of U0 : label is 0;
attribute c_has_bypass : integer;
attribute c_has_bypass of U0 : label is 0;
attribute c_has_c_in : integer;
attribute c_has_c_in of U0 : label is 0;
attribute c_has_c_out : integer;
attribute c_has_c_out of U0 : label is 0;
attribute c_has_ce : integer;
attribute c_has_ce of U0 : label is 0;
attribute c_has_sclr : integer;
attribute c_has_sclr of U0 : label is 0;
attribute c_has_sinit : integer;
attribute c_has_sinit of U0 : label is 0;
attribute c_has_sset : integer;
attribute c_has_sset of U0 : label is 0;
attribute c_latency : integer;
attribute c_latency of U0 : label is 0;
attribute c_out_width : integer;
attribute c_out_width of U0 : label is 32;
attribute c_sinit_val : string;
attribute c_sinit_val of U0 : label is "0";
attribute downgradeipidentifiedwarnings of U0 : label is "yes";
attribute is_du_within_envelope : string;
attribute is_du_within_envelope of U0 : label is "true";
attribute x_interface_info : string;
attribute x_interface_info of A : signal is "xilinx.com:signal:data:1.0 a_intf DATA";
attribute x_interface_parameter : string;
attribute x_interface_parameter of A : signal is "XIL_INTERFACENAME a_intf, LAYERED_METADATA undef";
attribute x_interface_info of S : signal is "xilinx.com:signal:data:1.0 s_intf DATA";
attribute x_interface_parameter of S : signal is "XIL_INTERFACENAME s_intf, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {DATA {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value data} bitwidth {attribs {resolve_type generated dependency bitwidth format long minimum {} maximum {}} value 32} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0} integer {signed {attribs {resolve_type generated dependency signed format bool minimum {} maximum {}} value TRUE}}}} DATA_WIDTH 32}";
begin
U0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_c_addsub_v12_0_14
port map (
A(31 downto 0) => A(31 downto 0),
ADD => '1',
B(14 downto 0) => B"000000000000000",
BYPASS => '0',
CE => '1',
CLK => '0',
C_IN => '0',
C_OUT => NLW_U0_C_OUT_UNCONNECTED,
S(31 downto 0) => S(31 downto 0),
SCLR => '0',
SINIT => '0',
SSET => '0'
);
end STRUCTURE;

View file

@ -0,0 +1,21 @@
// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
// --------------------------------------------------------------------------------
// Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
// Date : Tue Jun 24 20:48:39 2025
// Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
// Command : write_verilog -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
// decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_c_addsub_0_1_stub.v
// Design : top_c_addsub_0_1
// Purpose : Stub declaration of top-level module interface
// Device : xc7a100tlfgg484-2L
// --------------------------------------------------------------------------------
// This empty module with port declaration file causes synthesis tools to infer a black box for IP.
// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion.
// Please paste the declaration into a Verilog source file or add the file as an additional source.
(* x_core_info = "c_addsub_v12_0_14,Vivado 2022.1" *)
module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix(A, S)
/* synthesis syn_black_box black_box_pad_pin="A[31:0],S[31:0]" */;
input [31:0]A;
output [31:0]S;
endmodule

View file

@ -0,0 +1,31 @@
-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
-- Date : Tue Jun 24 20:48:40 2025
-- Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
-- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_c_addsub_0_1_stub.vhdl
-- Design : top_c_addsub_0_1
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a100tlfgg484-2L
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
Port (
A : in STD_LOGIC_VECTOR ( 31 downto 0 );
S : out STD_LOGIC_VECTOR ( 31 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "A[31:0],S[31:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "c_addsub_v12_0_14,Vivado 2022.1";
begin
end;

View file

@ -0,0 +1,55 @@
<?xml version="1.0" encoding="UTF-8"?>
<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
<spirit:vendor>xilinx.com</spirit:vendor>
<spirit:library>ipcache</spirit:library>
<spirit:name>39beb365981325e4</spirit:name>
<spirit:version>0</spirit:version>
<spirit:componentInstances>
<spirit:componentInstance>
<spirit:instanceName>top_axi_gpio_0_0</spirit:instanceName>
<spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="axi_gpio" spirit:version="2.0"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.FREQ_HZ">125000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_ACLK.FREQ_HZ">125000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_ALL_INPUTS">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_ALL_INPUTS_2">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_ALL_OUTPUTS">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_ALL_OUTPUTS_2">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_DOUT_DEFAULT">0x00000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_DOUT_DEFAULT_2">0x00000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_GPIO2_WIDTH">32</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_GPIO_WIDTH">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_INTERRUPT_PRESENT">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_IS_DUAL">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_TRI_DEFAULT">0xFFFFFFFF</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_TRI_DEFAULT_2">0xFFFFFFFF</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">top_axi_gpio_0_0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.GPIO2_BOARD_INTERFACE">Custom</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.GPIO_BOARD_INTERFACE">Custom</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_BOARD_FLOW">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">artix7</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7a100tl</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">fgg484</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VERILOG</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-2L</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.STATIC_POWER"/>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE">E</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHEID">39beb365981325e4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHCL">$Change: 3513466 $</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHCRC">a0bc1b9c</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHRUNTIME">49</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Unknown</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">28</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2022.1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">GLOBAL</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
</spirit:componentInstances>
</spirit:design>

View file

@ -0,0 +1,2 @@
NumberHits:1
Timestamp: Fri Jun 27 22:31:29 UTC 2025

View file

@ -1,7 +1,7 @@
// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
// --------------------------------------------------------------------------------
// Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
// Date : Tue Jun 24 11:58:34 2025
// Date : Wed Jun 25 03:38:25 2025
// Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
// Command : write_verilog -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
// decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_axi_gpio_0_0_sim_netlist.v

View file

@ -1,7 +1,7 @@
-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
-- Date : Tue Jun 24 11:58:34 2025
-- Date : Wed Jun 25 03:38:25 2025
-- Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_axi_gpio_0_0_sim_netlist.vhdl

View file

@ -1,7 +1,7 @@
// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
// --------------------------------------------------------------------------------
// Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
// Date : Tue Jun 24 11:58:34 2025
// Date : Wed Jun 25 03:38:25 2025
// Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
// Command : write_verilog -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
// decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_axi_gpio_0_0_stub.v

View file

@ -1,7 +1,7 @@
-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
-- Date : Tue Jun 24 11:58:34 2025
-- Date : Wed Jun 25 03:38:25 2025
-- Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
-- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_axi_gpio_0_0_stub.vhdl

View file

@ -0,0 +1,821 @@
<?xml version="1.0" encoding="UTF-8"?>
<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
<spirit:vendor>xilinx.com</spirit:vendor>
<spirit:library>ipcache</spirit:library>
<spirit:name>3dcbc30344a71c54</spirit:name>
<spirit:version>0</spirit:version>
<spirit:componentInstances>
<spirit:componentInstance>
<spirit:instanceName>top_s00_mmu_0</spirit:instanceName>
<spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="axi_mmu" spirit:version="2.1"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLK.FREQ_HZ">125000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.FREQ_HZ">125000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.FREQ_HZ">125000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ARUSER_WIDTH">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AWUSER_WIDTH">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.BUSER_WIDTH">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">top_s00_mmu_0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D000_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D000_BASE_ADDR">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D000_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D001_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D001_BASE_ADDR">0x0000000000010000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D001_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D002_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D002_BASE_ADDR">0x0000000000020000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D002_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D003_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D003_BASE_ADDR">0x0000000000030000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D003_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D004_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D004_BASE_ADDR">0x0000000000040000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D004_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D005_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D005_BASE_ADDR">0x0000000000050000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D005_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D006_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D006_BASE_ADDR">0x0000000000060000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D006_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D007_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D007_BASE_ADDR">0x0000000000070000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D007_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D008_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D008_BASE_ADDR">0x0000000000080000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D008_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D009_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D009_BASE_ADDR">0x0000000000090000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D009_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D010_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D010_BASE_ADDR">0x00000000000a0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D010_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D011_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D011_BASE_ADDR">0x00000000000b0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D011_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D012_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D012_BASE_ADDR">0x00000000000c0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D012_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D013_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D013_BASE_ADDR">0x00000000000d0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D013_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D014_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D014_BASE_ADDR">0x00000000000e0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D014_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D015_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D015_BASE_ADDR">0x00000000000f0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D015_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D016_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D016_BASE_ADDR">0x0000000000100000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D016_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D017_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D017_BASE_ADDR">0x0000000000110000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D017_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D018_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D018_BASE_ADDR">0x0000000000120000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D018_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D019_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D019_BASE_ADDR">0x0000000000130000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D019_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D020_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D020_BASE_ADDR">0x0000000000140000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D020_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D021_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D021_BASE_ADDR">0x0000000000150000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D021_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D022_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D022_BASE_ADDR">0x0000000000160000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D022_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D023_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D023_BASE_ADDR">0x0000000000170000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D023_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D024_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D024_BASE_ADDR">0x0000000000180000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D024_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D025_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D025_BASE_ADDR">0x0000000000190000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D025_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D026_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D026_BASE_ADDR">0x00000000001a0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D026_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D027_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D027_BASE_ADDR">0x00000000001b0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D027_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D028_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D028_BASE_ADDR">0x00000000001c0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D028_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D029_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D029_BASE_ADDR">0x00000000001d0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D029_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D030_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D030_BASE_ADDR">0x00000000001e0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D030_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D031_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D031_BASE_ADDR">0x00000000001f0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D031_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D032_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D032_BASE_ADDR">0x0000000000200000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D032_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D033_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D033_BASE_ADDR">0x0000000000210000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D033_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D034_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D034_BASE_ADDR">0x0000000000220000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D034_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D035_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D035_BASE_ADDR">0x0000000000230000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D035_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D036_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D036_BASE_ADDR">0x0000000000240000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D036_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D037_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D037_BASE_ADDR">0x0000000000250000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D037_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D038_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D038_BASE_ADDR">0x0000000000260000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D038_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D039_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D039_BASE_ADDR">0x0000000000270000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D039_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D040_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D040_BASE_ADDR">0x0000000000280000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D040_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D041_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D041_BASE_ADDR">0x0000000000290000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D041_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D042_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D042_BASE_ADDR">0x00000000002a0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D042_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D043_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D043_BASE_ADDR">0x00000000002b0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D043_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D044_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D044_BASE_ADDR">0x00000000002c0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D044_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D045_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D045_BASE_ADDR">0x00000000002d0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D045_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D046_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D046_BASE_ADDR">0x00000000002e0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D046_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D047_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D047_BASE_ADDR">0x00000000002f0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D047_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D048_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D048_BASE_ADDR">0x0000000000300000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D048_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D049_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D049_BASE_ADDR">0x0000000000310000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D049_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D050_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D050_BASE_ADDR">0x0000000000320000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D050_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D051_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D051_BASE_ADDR">0x0000000000330000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D051_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D052_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D052_BASE_ADDR">0x0000000000340000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D052_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D053_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D053_BASE_ADDR">0x0000000000350000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D053_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D054_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D054_BASE_ADDR">0x0000000000360000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D054_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D055_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D055_BASE_ADDR">0x0000000000370000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D055_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D056_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D056_BASE_ADDR">0x0000000000380000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D056_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D057_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D057_BASE_ADDR">0x0000000000390000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D057_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D058_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D058_BASE_ADDR">0x00000000003a0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D058_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D059_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D059_BASE_ADDR">0x00000000003b0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D059_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D060_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D060_BASE_ADDR">0x00000000003c0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D060_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D061_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D061_BASE_ADDR">0x00000000003d0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D061_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D062_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D062_BASE_ADDR">0x00000000003e0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D062_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D063_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D063_BASE_ADDR">0x00000000003f0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D063_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D064_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D064_BASE_ADDR">0x0000000000400000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D064_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D065_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D065_BASE_ADDR">0x0000000000410000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D065_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D066_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D066_BASE_ADDR">0x0000000000420000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D066_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D067_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D067_BASE_ADDR">0x0000000000430000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D067_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D068_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D068_BASE_ADDR">0x0000000000440000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D068_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D069_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D069_BASE_ADDR">0x0000000000450000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D069_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D070_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D070_BASE_ADDR">0x0000000000460000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D070_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D071_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D071_BASE_ADDR">0x0000000000470000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D071_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D072_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D072_BASE_ADDR">0x0000000000480000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D072_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D073_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D073_BASE_ADDR">0x0000000000490000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D073_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D074_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D074_BASE_ADDR">0x00000000004a0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D074_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D075_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D075_BASE_ADDR">0x00000000004b0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D075_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D076_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D076_BASE_ADDR">0x00000000004c0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D076_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D077_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D077_BASE_ADDR">0x00000000004d0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D077_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D078_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D078_BASE_ADDR">0x00000000004e0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D078_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D079_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D079_BASE_ADDR">0x00000000004f0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D079_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D080_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D080_BASE_ADDR">0x0000000000500000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D080_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D081_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D081_BASE_ADDR">0x0000000000510000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D081_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D082_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D082_BASE_ADDR">0x0000000000520000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D082_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D083_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D083_BASE_ADDR">0x0000000000530000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D083_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D084_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D084_BASE_ADDR">0x0000000000540000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D084_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D085_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D085_BASE_ADDR">0x0000000000550000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D085_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D086_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D086_BASE_ADDR">0x0000000000560000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D086_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D087_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D087_BASE_ADDR">0x0000000000570000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D087_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D088_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D088_BASE_ADDR">0x0000000000580000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D088_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D089_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D089_BASE_ADDR">0x0000000000590000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D089_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D090_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D090_BASE_ADDR">0x00000000005a0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D090_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D091_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D091_BASE_ADDR">0x00000000005b0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D091_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D092_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D092_BASE_ADDR">0x00000000005c0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D092_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D093_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D093_BASE_ADDR">0x00000000005d0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D093_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D094_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D094_BASE_ADDR">0x00000000005e0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D094_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D095_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D095_BASE_ADDR">0x00000000005f0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D095_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D096_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D096_BASE_ADDR">0x0000000000600000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D096_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D097_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D097_BASE_ADDR">0x0000000000610000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D097_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D098_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D098_BASE_ADDR">0x0000000000620000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D098_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D099_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D099_BASE_ADDR">0x0000000000630000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D099_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D100_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D100_BASE_ADDR">0x0000000000640000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D100_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D101_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D101_BASE_ADDR">0x0000000000650000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D101_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D102_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D102_BASE_ADDR">0x0000000000660000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D102_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D103_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D103_BASE_ADDR">0x0000000000670000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D103_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D104_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D104_BASE_ADDR">0x0000000000680000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D104_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D105_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D105_BASE_ADDR">0x0000000000690000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D105_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D106_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D106_BASE_ADDR">0x00000000006a0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D106_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D107_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D107_BASE_ADDR">0x00000000006b0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D107_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D108_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D108_BASE_ADDR">0x00000000006c0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D108_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D109_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D109_BASE_ADDR">0x00000000006d0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D109_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D110_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D110_BASE_ADDR">0x00000000006e0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D110_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D111_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D111_BASE_ADDR">0x00000000006f0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D111_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D112_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D112_BASE_ADDR">0x0000000000700000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D112_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D113_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D113_BASE_ADDR">0x0000000000710000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D113_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D114_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D114_BASE_ADDR">0x0000000000720000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D114_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D115_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D115_BASE_ADDR">0x0000000000730000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D115_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D116_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D116_BASE_ADDR">0x0000000000740000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D116_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D117_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D117_BASE_ADDR">0x0000000000750000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D117_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D118_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D118_BASE_ADDR">0x0000000000760000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D118_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D119_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D119_BASE_ADDR">0x0000000000770000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D119_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D120_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D120_BASE_ADDR">0x0000000000780000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D120_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D121_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D121_BASE_ADDR">0x0000000000790000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D121_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D122_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D122_BASE_ADDR">0x00000000007a0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D122_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D123_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D123_BASE_ADDR">0x00000000007b0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D123_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D124_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D124_BASE_ADDR">0x00000000007c0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D124_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D125_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D125_BASE_ADDR">0x00000000007d0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D125_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D126_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D126_BASE_ADDR">0x00000000007e0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D126_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D127_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D127_BASE_ADDR">0x00000000007f0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D127_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D128_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D128_BASE_ADDR">0x0000000000800000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D128_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D129_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D129_BASE_ADDR">0x0000000000810000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D129_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D130_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D130_BASE_ADDR">0x0000000000820000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D130_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D131_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D131_BASE_ADDR">0x0000000000830000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D131_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D132_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D132_BASE_ADDR">0x0000000000840000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D132_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D133_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D133_BASE_ADDR">0x0000000000850000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D133_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D134_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D134_BASE_ADDR">0x0000000000860000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D134_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D135_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D135_BASE_ADDR">0x0000000000870000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D135_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D136_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D136_BASE_ADDR">0x0000000000880000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D136_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D137_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D137_BASE_ADDR">0x0000000000890000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D137_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D138_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D138_BASE_ADDR">0x00000000008a0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D138_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D139_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D139_BASE_ADDR">0x00000000008b0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D139_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D140_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D140_BASE_ADDR">0x00000000008c0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D140_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D141_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D141_BASE_ADDR">0x00000000008d0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D141_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D142_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D142_BASE_ADDR">0x00000000008e0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D142_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D143_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D143_BASE_ADDR">0x00000000008f0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D143_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D144_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D144_BASE_ADDR">0x0000000000900000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D144_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D145_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D145_BASE_ADDR">0x0000000000910000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D145_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D146_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D146_BASE_ADDR">0x0000000000920000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D146_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D147_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D147_BASE_ADDR">0x0000000000930000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D147_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D148_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D148_BASE_ADDR">0x0000000000940000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D148_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D149_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D149_BASE_ADDR">0x0000000000950000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D149_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D150_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D150_BASE_ADDR">0x0000000000960000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D150_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D151_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D151_BASE_ADDR">0x0000000000970000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D151_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D152_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D152_BASE_ADDR">0x0000000000980000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D152_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D153_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D153_BASE_ADDR">0x0000000000990000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D153_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D154_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D154_BASE_ADDR">0x00000000009a0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D154_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D155_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D155_BASE_ADDR">0x00000000009b0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D155_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D156_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D156_BASE_ADDR">0x00000000009c0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D156_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D157_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D157_BASE_ADDR">0x00000000009d0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D157_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D158_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D158_BASE_ADDR">0x00000000009e0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D158_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D159_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D159_BASE_ADDR">0x00000000009f0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D159_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D160_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D160_BASE_ADDR">0x0000000000a00000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D160_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D161_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D161_BASE_ADDR">0x0000000000a10000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D161_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D162_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D162_BASE_ADDR">0x0000000000a20000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D162_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D163_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D163_BASE_ADDR">0x0000000000a30000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D163_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D164_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D164_BASE_ADDR">0x0000000000a40000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D164_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D165_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D165_BASE_ADDR">0x0000000000a50000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D165_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D166_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D166_BASE_ADDR">0x0000000000a60000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D166_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D167_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D167_BASE_ADDR">0x0000000000a70000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D167_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D168_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D168_BASE_ADDR">0x0000000000a80000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D168_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D169_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D169_BASE_ADDR">0x0000000000a90000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D169_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D170_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D170_BASE_ADDR">0x0000000000aa0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D170_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D171_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D171_BASE_ADDR">0x0000000000ab0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D171_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D172_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D172_BASE_ADDR">0x0000000000ac0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D172_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D173_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D173_BASE_ADDR">0x0000000000ad0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D173_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D174_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D174_BASE_ADDR">0x0000000000ae0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D174_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D175_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D175_BASE_ADDR">0x0000000000af0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D175_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D176_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D176_BASE_ADDR">0x0000000000b00000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D176_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D177_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D177_BASE_ADDR">0x0000000000b10000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D177_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D178_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D178_BASE_ADDR">0x0000000000b20000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D178_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D179_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D179_BASE_ADDR">0x0000000000b30000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D179_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D180_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D180_BASE_ADDR">0x0000000000b40000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D180_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D181_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D181_BASE_ADDR">0x0000000000b50000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D181_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D182_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D182_BASE_ADDR">0x0000000000b60000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D182_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D183_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D183_BASE_ADDR">0x0000000000b70000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D183_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D184_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D184_BASE_ADDR">0x0000000000b80000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D184_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D185_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D185_BASE_ADDR">0x0000000000b90000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D185_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D186_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D186_BASE_ADDR">0x0000000000ba0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D186_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D187_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D187_BASE_ADDR">0x0000000000bb0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D187_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D188_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D188_BASE_ADDR">0x0000000000bc0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D188_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D189_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D189_BASE_ADDR">0x0000000000bd0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D189_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D190_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D190_BASE_ADDR">0x0000000000be0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D190_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D191_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D191_BASE_ADDR">0x0000000000bf0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D191_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D192_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D192_BASE_ADDR">0x0000000000c00000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D192_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D193_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D193_BASE_ADDR">0x0000000000c10000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D193_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D194_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D194_BASE_ADDR">0x0000000000c20000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D194_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D195_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D195_BASE_ADDR">0x0000000000c30000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D195_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D196_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D196_BASE_ADDR">0x0000000000c40000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D196_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D197_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D197_BASE_ADDR">0x0000000000c50000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D197_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D198_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D198_BASE_ADDR">0x0000000000c60000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D198_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D199_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D199_BASE_ADDR">0x0000000000c70000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D199_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D200_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D200_BASE_ADDR">0x0000000000c80000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D200_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D201_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D201_BASE_ADDR">0x0000000000c90000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D201_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D202_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D202_BASE_ADDR">0x0000000000ca0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D202_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D203_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D203_BASE_ADDR">0x0000000000cb0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D203_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D204_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D204_BASE_ADDR">0x0000000000cc0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D204_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D205_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D205_BASE_ADDR">0x0000000000cd0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D205_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D206_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D206_BASE_ADDR">0x0000000000ce0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D206_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D207_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D207_BASE_ADDR">0x0000000000cf0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D207_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D208_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D208_BASE_ADDR">0x0000000000d00000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D208_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D209_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D209_BASE_ADDR">0x0000000000d10000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D209_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D210_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D210_BASE_ADDR">0x0000000000d20000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D210_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D211_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D211_BASE_ADDR">0x0000000000d30000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D211_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D212_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D212_BASE_ADDR">0x0000000000d40000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D212_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D213_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D213_BASE_ADDR">0x0000000000d50000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D213_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D214_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D214_BASE_ADDR">0x0000000000d60000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D214_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D215_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D215_BASE_ADDR">0x0000000000d70000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D215_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D216_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D216_BASE_ADDR">0x0000000000d80000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D216_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D217_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D217_BASE_ADDR">0x0000000000d90000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D217_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D218_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D218_BASE_ADDR">0x0000000000da0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D218_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D219_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D219_BASE_ADDR">0x0000000000db0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D219_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D220_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D220_BASE_ADDR">0x0000000000dc0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D220_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D221_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D221_BASE_ADDR">0x0000000000dd0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D221_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D222_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D222_BASE_ADDR">0x0000000000de0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D222_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D223_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D223_BASE_ADDR">0x0000000000df0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D223_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D224_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D224_BASE_ADDR">0x0000000000e00000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D224_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D225_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D225_BASE_ADDR">0x0000000000e10000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D225_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D226_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D226_BASE_ADDR">0x0000000000e20000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D226_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D227_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D227_BASE_ADDR">0x0000000000e30000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D227_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D228_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D228_BASE_ADDR">0x0000000000e40000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D228_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D229_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D229_BASE_ADDR">0x0000000000e50000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D229_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D230_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D230_BASE_ADDR">0x0000000000e60000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D230_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D231_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D231_BASE_ADDR">0x0000000000e70000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D231_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D232_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D232_BASE_ADDR">0x0000000000e80000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D232_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D233_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D233_BASE_ADDR">0x0000000000e90000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D233_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D234_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D234_BASE_ADDR">0x0000000000ea0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D234_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D235_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D235_BASE_ADDR">0x0000000000eb0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D235_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D236_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D236_BASE_ADDR">0x0000000000ec0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D236_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D237_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D237_BASE_ADDR">0x0000000000ed0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D237_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D238_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D238_BASE_ADDR">0x0000000000ee0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D238_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D239_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D239_BASE_ADDR">0x0000000000ef0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D239_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D240_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D240_BASE_ADDR">0x0000000000f00000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D240_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D241_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D241_BASE_ADDR">0x0000000000f10000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D241_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D242_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D242_BASE_ADDR">0x0000000000f20000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D242_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D243_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D243_BASE_ADDR">0x0000000000f30000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D243_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D244_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D244_BASE_ADDR">0x0000000000f40000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D244_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D245_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D245_BASE_ADDR">0x0000000000f50000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D245_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D246_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D246_BASE_ADDR">0x0000000000f60000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D246_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D247_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D247_BASE_ADDR">0x0000000000f70000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D247_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D248_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D248_BASE_ADDR">0x0000000000f80000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D248_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D249_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D249_BASE_ADDR">0x0000000000f90000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D249_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D250_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D250_BASE_ADDR">0x0000000000fa0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D250_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D251_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D251_BASE_ADDR">0x0000000000fb0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D251_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D252_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D252_BASE_ADDR">0x0000000000fc0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D252_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D253_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D253_BASE_ADDR">0x0000000000fd0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D253_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D254_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D254_BASE_ADDR">0x0000000000fe0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D254_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D255_ADDR_WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D255_BASE_ADDR">0x0000000000ff0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.D255_READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_WIDTH">32</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ID_WIDTH">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MI_ADDR_WIDTH">32</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.NUM_RANGES">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROTOCOL">AXI4LITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RUSER_WIDTH">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SI_ADDR_WIDTH">32</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WUSER_WIDTH">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">artix7</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7a100tl</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">fgg484</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VERILOG</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-2L</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.STATIC_POWER"/>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE">E</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHEID">3dcbc30344a71c54</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHCL">$Change: 3513466 $</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHCRC">0c19aff3</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHRUNTIME">42</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Unknown</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">24</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2022.1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">GLOBAL</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
</spirit:componentInstances>
</spirit:design>

File diff suppressed because it is too large Load diff

File diff suppressed because it is too large Load diff

View file

@ -0,0 +1,65 @@
// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
// --------------------------------------------------------------------------------
// Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
// Date : Fri Jun 27 22:32:23 2025
// Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
// Command : write_verilog -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
// decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_s00_mmu_0_stub.v
// Design : top_s00_mmu_0
// Purpose : Stub declaration of top-level module interface
// Device : xc7a100tlfgg484-2L
// --------------------------------------------------------------------------------
// This empty module with port declaration file causes synthesis tools to infer a black box for IP.
// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion.
// Please paste the declaration into a Verilog source file or add the file as an additional source.
(* X_CORE_INFO = "axi_mmu_v2_1_24_top,Vivado 2022.1" *)
module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix(aclk, aresetn, s_axi_awaddr, s_axi_awprot,
s_axi_awvalid, s_axi_awready, s_axi_wdata, s_axi_wstrb, s_axi_wvalid, s_axi_wready,
s_axi_bresp, s_axi_bvalid, s_axi_bready, s_axi_araddr, s_axi_arprot, s_axi_arvalid,
s_axi_arready, s_axi_rdata, s_axi_rresp, s_axi_rvalid, s_axi_rready, m_axi_awaddr,
m_axi_awprot, m_axi_awvalid, m_axi_awready, m_axi_wdata, m_axi_wstrb, m_axi_wvalid,
m_axi_wready, m_axi_bresp, m_axi_bvalid, m_axi_bready, m_axi_araddr, m_axi_arprot,
m_axi_arvalid, m_axi_arready, m_axi_rdata, m_axi_rresp, m_axi_rvalid, m_axi_rready)
/* synthesis syn_black_box black_box_pad_pin="aclk,aresetn,s_axi_awaddr[31:0],s_axi_awprot[2:0],s_axi_awvalid,s_axi_awready,s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wvalid,s_axi_wready,s_axi_bresp[1:0],s_axi_bvalid,s_axi_bready,s_axi_araddr[31:0],s_axi_arprot[2:0],s_axi_arvalid,s_axi_arready,s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rvalid,s_axi_rready,m_axi_awaddr[31:0],m_axi_awprot[2:0],m_axi_awvalid,m_axi_awready,m_axi_wdata[31:0],m_axi_wstrb[3:0],m_axi_wvalid,m_axi_wready,m_axi_bresp[1:0],m_axi_bvalid,m_axi_bready,m_axi_araddr[31:0],m_axi_arprot[2:0],m_axi_arvalid,m_axi_arready,m_axi_rdata[31:0],m_axi_rresp[1:0],m_axi_rvalid,m_axi_rready" */;
input aclk;
input aresetn;
input [31:0]s_axi_awaddr;
input [2:0]s_axi_awprot;
input s_axi_awvalid;
output s_axi_awready;
input [31:0]s_axi_wdata;
input [3:0]s_axi_wstrb;
input s_axi_wvalid;
output s_axi_wready;
output [1:0]s_axi_bresp;
output s_axi_bvalid;
input s_axi_bready;
input [31:0]s_axi_araddr;
input [2:0]s_axi_arprot;
input s_axi_arvalid;
output s_axi_arready;
output [31:0]s_axi_rdata;
output [1:0]s_axi_rresp;
output s_axi_rvalid;
input s_axi_rready;
output [31:0]m_axi_awaddr;
output [2:0]m_axi_awprot;
output m_axi_awvalid;
input m_axi_awready;
output [31:0]m_axi_wdata;
output [3:0]m_axi_wstrb;
output m_axi_wvalid;
input m_axi_wready;
input [1:0]m_axi_bresp;
input m_axi_bvalid;
output m_axi_bready;
output [31:0]m_axi_araddr;
output [2:0]m_axi_arprot;
output m_axi_arvalid;
input m_axi_arready;
input [31:0]m_axi_rdata;
input [1:0]m_axi_rresp;
input m_axi_rvalid;
output m_axi_rready;
endmodule

View file

@ -0,0 +1,69 @@
-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
-- Date : Fri Jun 27 22:32:23 2025
-- Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
-- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_s00_mmu_0_stub.vhdl
-- Design : top_s00_mmu_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a100tlfgg484-2L
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
Port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "aclk,aresetn,s_axi_awaddr[31:0],s_axi_awprot[2:0],s_axi_awvalid,s_axi_awready,s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wvalid,s_axi_wready,s_axi_bresp[1:0],s_axi_bvalid,s_axi_bready,s_axi_araddr[31:0],s_axi_arprot[2:0],s_axi_arvalid,s_axi_arready,s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rvalid,s_axi_rready,m_axi_awaddr[31:0],m_axi_awprot[2:0],m_axi_awvalid,m_axi_awready,m_axi_wdata[31:0],m_axi_wstrb[3:0],m_axi_wvalid,m_axi_wready,m_axi_bresp[1:0],m_axi_bvalid,m_axi_bready,m_axi_araddr[31:0],m_axi_arprot[2:0],m_axi_arvalid,m_axi_arready,m_axi_rdata[31:0],m_axi_rresp[1:0],m_axi_rvalid,m_axi_rready";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of stub : architecture is "axi_mmu_v2_1_24_top,Vivado 2022.1";
begin
end;

View file

@ -0,0 +1,64 @@
<?xml version="1.0" encoding="UTF-8"?>
<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
<spirit:vendor>xilinx.com</spirit:vendor>
<spirit:library>ipcache</spirit:library>
<spirit:name>545344bc7fb3e6c2</spirit:name>
<spirit:version>0</spirit:version>
<spirit:componentInstances>
<spirit:componentInstance>
<spirit:instanceName>top_c_addsub_0_1</spirit:instanceName>
<spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="c_addsub" spirit:version="12.0"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLK_INTF.FREQ_HZ">100000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AINIT_Value">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.A_Type">Unsigned</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.A_Width">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Add_Mode">Add</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.B_Constant">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.B_Type">Unsigned</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.B_Value">01</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.B_Width">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Borrow_Sense">Active_Low</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Bypass">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Bypass_CE_Priority">CE_Overrides_Bypass</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Bypass_Sense">Active_High</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CE">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_In">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_Out">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">top_c_addsub_0_1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Implementation">Fabric</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Latency">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Latency_Configuration">Manual</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Out_Width">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SCLR">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SINIT">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SINIT_Value">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SSET">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Sync_CE_Priority">Sync_Overrides_CE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Sync_Ctrl_Priority">Reset_Overrides_Set</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">artix7</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7a100tl</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">fgg484</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VERILOG</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-2L</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.STATIC_POWER"/>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE">E</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHEID">545344bc7fb3e6c2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHCL">$Change: 3513466 $</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHCRC">92af31e3</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHRUNTIME">49</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Unknown</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">14</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2022.1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">GLOBAL</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
</spirit:componentInstances>
</spirit:design>

View file

@ -0,0 +1,2 @@
NumberHits:1
Timestamp: Sat Jun 28 02:34:41 UTC 2025

View file

@ -0,0 +1,358 @@
// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
// --------------------------------------------------------------------------------
// Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
// Date : Wed Jun 25 03:38:25 2025
// Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
// Command : write_verilog -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
// decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_c_addsub_0_1_sim_netlist.v
// Design : top_c_addsub_0_1
// Purpose : This verilog netlist is a functional simulation representation of the design and should not be modified
// or synthesized. This netlist cannot be used for SDF annotated simulation.
// Device : xc7a100tlfgg484-2L
// --------------------------------------------------------------------------------
`timescale 1 ps / 1 ps
(* CHECK_LICENSE_TYPE = "top_c_addsub_0_1,c_addsub_v12_0_14,{}" *) (* downgradeipidentifiedwarnings = "yes" *) (* x_core_info = "c_addsub_v12_0_14,Vivado 2022.1" *)
(* NotValidForBitStream *)
module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix
(A,
S);
(* x_interface_info = "xilinx.com:signal:data:1.0 a_intf DATA" *) (* x_interface_parameter = "XIL_INTERFACENAME a_intf, LAYERED_METADATA undef" *) input [1:0]A;
(* x_interface_info = "xilinx.com:signal:data:1.0 s_intf DATA" *) (* x_interface_parameter = "XIL_INTERFACENAME s_intf, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {DATA {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value data} bitwidth {attribs {resolve_type generated dependency bitwidth format long minimum {} maximum {}} value 2} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0} integer {signed {attribs {resolve_type generated dependency signed format bool minimum {} maximum {}} value FALSE}}}} DATA_WIDTH 2}" *) output [1:0]S;
wire [1:0]A;
wire [1:0]S;
wire NLW_U0_C_OUT_UNCONNECTED;
(* C_BORROW_LOW = "1" *)
(* C_CE_OVERRIDES_BYPASS = "1" *)
(* C_CE_OVERRIDES_SCLR = "0" *)
(* C_IMPLEMENTATION = "0" *)
(* C_SCLR_OVERRIDES_SSET = "1" *)
(* C_VERBOSITY = "0" *)
(* C_XDEVICEFAMILY = "artix7l" *)
(* KEEP_HIERARCHY = "soft" *)
(* c_a_type = "1" *)
(* c_a_width = "2" *)
(* c_add_mode = "0" *)
(* c_ainit_val = "0" *)
(* c_b_constant = "1" *)
(* c_b_type = "1" *)
(* c_b_value = "01" *)
(* c_b_width = "2" *)
(* c_bypass_low = "0" *)
(* c_has_bypass = "0" *)
(* c_has_c_in = "0" *)
(* c_has_c_out = "0" *)
(* c_has_ce = "0" *)
(* c_has_sclr = "0" *)
(* c_has_sinit = "0" *)
(* c_has_sset = "0" *)
(* c_latency = "0" *)
(* c_out_width = "2" *)
(* c_sinit_val = "0" *)
(* downgradeipidentifiedwarnings = "yes" *)
(* is_du_within_envelope = "true" *)
decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_c_addsub_v12_0_14 U0
(.A(A),
.ADD(1'b1),
.B({1'b0,1'b0}),
.BYPASS(1'b0),
.CE(1'b1),
.CLK(1'b0),
.C_IN(1'b0),
.C_OUT(NLW_U0_C_OUT_UNCONNECTED),
.S(S),
.SCLR(1'b0),
.SINIT(1'b0),
.SSET(1'b0));
endmodule
`pragma protect begin_protected
`pragma protect version = 1
`pragma protect encrypt_agent = "XILINX"
`pragma protect encrypt_agent_info = "Xilinx Encryption Tool 2022.1"
`pragma protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-2", key_method="rsa"
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`pragma protect key_block
pOoCy2lYSLdoKW5+30MbT0kuTiRSJJ4jG7/RQeB9eRVWQwL+y1zWMiA09vc76Tm9Rm62Cxs2gO7D
cjnDEBDTj9JD9pG0UZ4qvjorK7qe6ttWdmBnoDWg7u86SxcI9hFq/+WSIIz2nufv18Jw1CdSZZOy
fCT9rx9380uRhjUSqF4=
`pragma protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa"
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`pragma protect key_block
w0+6vlnZpk2rtsD4bdd9LWwLOAaGlFa69N2rasBQ5mfULBHdtMEL9pZ+aHU222MNGvYQsqWvMFAX
ZesYGDQv4e1JRb1BpT/jMo86E62GcoY5Sma8x/T4td4zjf0tiKaBP1Veutb3G2ureWf4YyEtS8Kg
0PbkWpeGMRgAOQdiRWzcZPYx3TUExmrrpy9HrfwUmeKPRnrLj5W77hmCzmmY/53V9Md+OUxZ1ud9
ra8U2X1hpvTj8Igqf7zkxVLE84R1u3R0xstKE7AwHtdQLmfOOTdMaIeA0cXdV4Fq+KbQfLKFpCch
DBJGJEKdw9bsv3LDnU2dwusgZdqMlPyniUhHIw==
`pragma protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VELOCE-RSA", key_method="rsa"
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`pragma protect key_block
ltA4GqALLdRzzHvj261Uwyntak7z4EkFduT9K3QGtHb0sATa3ybVqK8ZI4jQfsAU7X0LxSWB4LoB
EFa45I2fE9dxusPz3iWXrfp4gx+koM3MHUXxrPW4jG2EucHFZqktvn1Le6uXQTfIURspnbJdYYLN
gfsKiiF0MyJaAIqneyk=
`pragma protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-2", key_method="rsa"
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`pragma protect key_block
FfEP5FVX1Ax5kxu3ZOO1vQ9h+VBDpOGG5H86yMu1QcxnGqo9gnUM01F3P96BdUFjnV725KGMIQni
FqRDoY3T9Ab12xgASphiapofR3LD5psN/JEAIwqfr0LAp+qbJG9vsC++s2ZWr5bT40BWrvc2jxSi
Mi9YGtaFzL/QmiZd5c8rctXA06pFCKZTPrpVbHHVLIxt3wRGSfzPS5Adi6/wI6QDGsNH6a/h9Rtx
LuJWu70Te3UtGxU4L6xsNyvbBZ4CCFz0dL447PvB0CTDucB/adAFHdWo0DhlvfzkmkoGEAhixHmj
AoxUm5dvM43CGcaupKLSyc0TidiSEq+GR7l2NQ==
`pragma protect key_keyowner="Real Intent", key_keyname="RI-RSA-KEY-1", key_method="rsa"
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`pragma protect key_block
ZQjvUbsJRfCx35iNqwoFQmy1CIf6Coiu+UjavEdAZwIWsKthBwuj7XZZrMuAVlWaw7ztRQITxIW9
joM5AKjSyOnQP0f19GFwzJf84AeawIF3W9rKLzDq3SOmO06CNxp31zfLk/c+P2Aynl/Jtva1fUn+
ZF04qq8HtC+QFVbXW41MZFd1Q/xtg+Oldf7hPUXUSbzn733pCcjZe3zSpulIMxkV5fk6YIh0+pJe
FvtHzhcQPf+iDQm82ToezAiqE2VGuquf6pVU84b/8tWxFyqvKnXHdfXGLEBP/P8+ifSgyJsyvbli
DuFRBrF+POpxQ584I5j7CL45AdM45WR9270K2w==
`pragma protect key_keyowner="Xilinx", key_keyname="xilinxt_2021_07", key_method="rsa"
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`pragma protect key_block
I8jEzvdjBEX5gYqZOZMUoavSPVwkkhEzNXKZi6Gv+UZEzEyUPN1l9Jdmm/OohZWWJebb0ecKEuTu
VzUnDYkQifscYwKLjT++bhkfP4fHR6IIJnlOajPL1U2absc717Uq65HLaP0ISJfczzo4S5Mq4/hU
Rj95X70zIG4pYiyaOllA1V+RNsA8rd8f45qhX1XuzYpufo4yOkEdXFfuzY1bDq2nK2OMRH+4TOXw
XMXsCKqNTpsyaEsutNj+rZ7eONFsaOylKo5ofdpJ7IxWzO1aaCcYaqmbNCpkUqe5RQvLE4FuE8Nc
kmvAvUj3g/pJm2VGGdJ2nOsn2uyD9ptFCVK/nQ==
`pragma protect key_keyowner="Metrics Technologies Inc.", key_keyname="DSim", key_method="rsa"
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`pragma protect key_block
SEnqVTEa7lkg72h4bpTlKL4fhEuzRqr3rTaNC4OrFZR9Cmrlss8ucbtz4kUEBRUrIzx8ZMZ08aDm
ncvKMpDrsOi59zmzvYyoJ1TK502awdtp+k9xaIVQ0qsM1TxTMEiq6w388MnoJjwOZ7BhuBa1GHgd
Dx/0z+9+rmVCuHs+HULZnwjMyc6gfx7LRVbLQPb0S4Oh9i+rXperDiv5N7FQeNdfsDeRCLVRB8U+
OU60liE0nqP2X7bte91esX0nspE9bMEb88nopvRxFTNBXG/PoJoQvlFjrUXWbVELwUtfC4YAkcvq
eB2RVDysb8DuzJ1PztoiUPf6FAvw6WhfDouB4A==
`pragma protect key_keyowner="Atrenta", key_keyname="ATR-SG-RSA-1", key_method="rsa"
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=384)
`pragma protect key_block
k8QHc8h8draQ9agAUUfM6s9ta/pxWprdP2NhBlzOaFB4j4J1MHoYvPuMlWY3IJ+53iC58d58V0KQ
DSf99XKI/DpI43M7z3Rgp6WKRkU72yQMB/tXQk3sQKHKE6DVb5qPkMC13hq+bkDwfv5JMbQX9lwx
tWwloAcpFCR03nGPXqH3V+FDys7YFNmWUI7F6BYxX4W4/4feSosYPgETUP5gLQPnK2SXr1PUeuuW
7UIFcKUwBjx7S6gYUPq3hlO6AFN7ync67eZp8N1tozzGnF23XCk7gUU6srDt2QOWbA0sBL6TTsrI
WW1ADpBsIdstAGiZnMRRGDeA6rN2JiwulhuiWHQAuKSkUSbuIT6uPRmlnd31uhSh7aTrvogfUJSz
uGNb/TAHJkpHm4yL40iI8yO7JTcwLuy2ahdpE16AMDfZJFU4IGouo/H5+p6SO+jvzHZYkIWZusPm
nBK++GQUzk/PMlSRT0cCTnxIgVAFToP96NqnZtn2E47iYToxig/+YKBy
`pragma protect key_keyowner="Cadence Design Systems.", key_keyname="CDS_RSA_KEY_VER_1", key_method="rsa"
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`pragma protect key_block
LAw56NZhBnNhisjUaakOb87L4sBFj31jlxTUnVSf9FfOIV53do249b3JPp4K8xGqQsYrn2pUQ4U5
FOdo/y2m6aKiAdn9UA1Nx3HHK46586WxtcSd74xxYlIKJMy+xE1yeJIeWoC/+HvDmglnTzt9aJaA
6XKocO7esAOu13fafAa/D4l+73oqYb+v1wIcK34mj43NqEWg+qxUVG5vj9kDrx0l72qkTMtQeCMZ
j9Rr2RJ9uMO8H71F9qVSbAIun5zjMh+cd29z54TJZTanzFEdpk6UmY6Fopv5VuIykHN10J8fpmUH
HEQQJDGCCq33NL6UiradkAd+1GvEk6iYZmweRA==
`pragma protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-PREC-RSA", key_method="rsa"
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`pragma protect key_block
LwQSvR8jgiJIAMaxAQP4dB2uRM8N6iK0pjVx28XH8KPRMWWdd1GWYae2sI67fJqH7t0rWXbv0fCg
j2lCumVe/1KuPJpxTLjn5Qbeg1HjdIPYIN8VhYaBkYu7RS6tOnNcuP3ODUUc2WgKgzOGeGkDW19q
BmrBHM1yWbMZ3sCg9RCvQKMkVWyO4v4AW59pFMbZjp2WFRUq7bIkGV+QTYjz15WKGMTVQeCHcRUo
XKf0AqLR+XaMESFpG9gCAKuUDvgaGfH4PEX5TyF0svCJ8U5ZJj2Rqau2wX+FyItaIOrK8rlqXLjA
xrmDfXFG2vPrnWBJC9LioJ9VYIzoVfHcwUUykQ==
`pragma protect key_keyowner="Synplicity", key_keyname="SYNP15_1", key_method="rsa"
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`pragma protect key_block
VLga7GC2Zrna5Jhmk4ZiIwOiZeMhfkR1yitoOESjT+O3HAgeuwtwCyBuXPjXZBz5RXBlKe2icD+Y
/8NyUcQG4g4LcYuRSjuyJN2N45PmJ7qQ+wkbSHfeFb2NkBtSeDJshG+keJGhOAtXaAQOgfUNwp6T
OvzZZxpaDBx06DMLlevDFOIdGx+CqWlXkzMBwiOowFjW7PJfdaxUMJ+XU3bzNw6uXU/W+YbbmMx3
vHjp3ULjBRa3Jncx9IR+vSuq0+H9+zIeI2S5GTci6a0du/omfCQ2cMrg6OfPRBTQyQyYwacX6aF+
0OvIF/BCuhbhm4dsJNLznIwPFpuwDQBQ1xbHVQ==
`pragma protect data_method = "AES128-CBC"
`pragma protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5728)
`pragma protect data_block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`pragma protect end_protected
`ifndef GLBL
`define GLBL
`timescale 1 ps / 1 ps
module glbl ();
parameter ROC_WIDTH = 100000;
parameter TOC_WIDTH = 0;
parameter GRES_WIDTH = 10000;
parameter GRES_START = 10000;
//-------- STARTUP Globals --------------
wire GSR;
wire GTS;
wire GWE;
wire PRLD;
wire GRESTORE;
tri1 p_up_tmp;
tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
wire PROGB_GLBL;
wire CCLKO_GLBL;
wire FCSBO_GLBL;
wire [3:0] DO_GLBL;
wire [3:0] DI_GLBL;
reg GSR_int;
reg GTS_int;
reg PRLD_int;
reg GRESTORE_int;
//-------- JTAG Globals --------------
wire JTAG_TDO_GLBL;
wire JTAG_TCK_GLBL;
wire JTAG_TDI_GLBL;
wire JTAG_TMS_GLBL;
wire JTAG_TRST_GLBL;
reg JTAG_CAPTURE_GLBL;
reg JTAG_RESET_GLBL;
reg JTAG_SHIFT_GLBL;
reg JTAG_UPDATE_GLBL;
reg JTAG_RUNTEST_GLBL;
reg JTAG_SEL1_GLBL = 0;
reg JTAG_SEL2_GLBL = 0 ;
reg JTAG_SEL3_GLBL = 0;
reg JTAG_SEL4_GLBL = 0;
reg JTAG_USER_TDO1_GLBL = 1'bz;
reg JTAG_USER_TDO2_GLBL = 1'bz;
reg JTAG_USER_TDO3_GLBL = 1'bz;
reg JTAG_USER_TDO4_GLBL = 1'bz;
assign (strong1, weak0) GSR = GSR_int;
assign (strong1, weak0) GTS = GTS_int;
assign (weak1, weak0) PRLD = PRLD_int;
assign (strong1, weak0) GRESTORE = GRESTORE_int;
initial begin
GSR_int = 1'b1;
PRLD_int = 1'b1;
#(ROC_WIDTH)
GSR_int = 1'b0;
PRLD_int = 1'b0;
end
initial begin
GTS_int = 1'b1;
#(TOC_WIDTH)
GTS_int = 1'b0;
end
initial begin
GRESTORE_int = 1'b0;
#(GRES_START);
GRESTORE_int = 1'b1;
#(GRES_WIDTH);
GRESTORE_int = 1'b0;
end
endmodule
`endif

View file

@ -0,0 +1,504 @@
-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
-- Date : Wed Jun 25 03:38:25 2025
-- Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_c_addsub_0_1_sim_netlist.vhdl
-- Design : top_c_addsub_0_1
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7a100tlfgg484-2L
-- --------------------------------------------------------------------------------
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2022.1"
`protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-2", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`protect key_block
pOoCy2lYSLdoKW5+30MbT0kuTiRSJJ4jG7/RQeB9eRVWQwL+y1zWMiA09vc76Tm9Rm62Cxs2gO7D
cjnDEBDTj9JD9pG0UZ4qvjorK7qe6ttWdmBnoDWg7u86SxcI9hFq/+WSIIz2nufv18Jw1CdSZZOy
fCT9rx9380uRhjUSqF4=
`protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
w0+6vlnZpk2rtsD4bdd9LWwLOAaGlFa69N2rasBQ5mfULBHdtMEL9pZ+aHU222MNGvYQsqWvMFAX
ZesYGDQv4e1JRb1BpT/jMo86E62GcoY5Sma8x/T4td4zjf0tiKaBP1Veutb3G2ureWf4YyEtS8Kg
0PbkWpeGMRgAOQdiRWzcZPYx3TUExmrrpy9HrfwUmeKPRnrLj5W77hmCzmmY/53V9Md+OUxZ1ud9
ra8U2X1hpvTj8Igqf7zkxVLE84R1u3R0xstKE7AwHtdQLmfOOTdMaIeA0cXdV4Fq+KbQfLKFpCch
DBJGJEKdw9bsv3LDnU2dwusgZdqMlPyniUhHIw==
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VELOCE-RSA", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`protect key_block
ltA4GqALLdRzzHvj261Uwyntak7z4EkFduT9K3QGtHb0sATa3ybVqK8ZI4jQfsAU7X0LxSWB4LoB
EFa45I2fE9dxusPz3iWXrfp4gx+koM3MHUXxrPW4jG2EucHFZqktvn1Le6uXQTfIURspnbJdYYLN
gfsKiiF0MyJaAIqneyk=
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-2", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
FfEP5FVX1Ax5kxu3ZOO1vQ9h+VBDpOGG5H86yMu1QcxnGqo9gnUM01F3P96BdUFjnV725KGMIQni
FqRDoY3T9Ab12xgASphiapofR3LD5psN/JEAIwqfr0LAp+qbJG9vsC++s2ZWr5bT40BWrvc2jxSi
Mi9YGtaFzL/QmiZd5c8rctXA06pFCKZTPrpVbHHVLIxt3wRGSfzPS5Adi6/wI6QDGsNH6a/h9Rtx
LuJWu70Te3UtGxU4L6xsNyvbBZ4CCFz0dL447PvB0CTDucB/adAFHdWo0DhlvfzkmkoGEAhixHmj
AoxUm5dvM43CGcaupKLSyc0TidiSEq+GR7l2NQ==
`protect key_keyowner="Real Intent", key_keyname="RI-RSA-KEY-1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
ZQjvUbsJRfCx35iNqwoFQmy1CIf6Coiu+UjavEdAZwIWsKthBwuj7XZZrMuAVlWaw7ztRQITxIW9
joM5AKjSyOnQP0f19GFwzJf84AeawIF3W9rKLzDq3SOmO06CNxp31zfLk/c+P2Aynl/Jtva1fUn+
ZF04qq8HtC+QFVbXW41MZFd1Q/xtg+Oldf7hPUXUSbzn733pCcjZe3zSpulIMxkV5fk6YIh0+pJe
FvtHzhcQPf+iDQm82ToezAiqE2VGuquf6pVU84b/8tWxFyqvKnXHdfXGLEBP/P8+ifSgyJsyvbli
DuFRBrF+POpxQ584I5j7CL45AdM45WR9270K2w==
`protect key_keyowner="Xilinx", key_keyname="xilinxt_2021_07", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
I8jEzvdjBEX5gYqZOZMUoavSPVwkkhEzNXKZi6Gv+UZEzEyUPN1l9Jdmm/OohZWWJebb0ecKEuTu
VzUnDYkQifscYwKLjT++bhkfP4fHR6IIJnlOajPL1U2absc717Uq65HLaP0ISJfczzo4S5Mq4/hU
Rj95X70zIG4pYiyaOllA1V+RNsA8rd8f45qhX1XuzYpufo4yOkEdXFfuzY1bDq2nK2OMRH+4TOXw
XMXsCKqNTpsyaEsutNj+rZ7eONFsaOylKo5ofdpJ7IxWzO1aaCcYaqmbNCpkUqe5RQvLE4FuE8Nc
kmvAvUj3g/pJm2VGGdJ2nOsn2uyD9ptFCVK/nQ==
`protect key_keyowner="Metrics Technologies Inc.", key_keyname="DSim", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
SEnqVTEa7lkg72h4bpTlKL4fhEuzRqr3rTaNC4OrFZR9Cmrlss8ucbtz4kUEBRUrIzx8ZMZ08aDm
ncvKMpDrsOi59zmzvYyoJ1TK502awdtp+k9xaIVQ0qsM1TxTMEiq6w388MnoJjwOZ7BhuBa1GHgd
Dx/0z+9+rmVCuHs+HULZnwjMyc6gfx7LRVbLQPb0S4Oh9i+rXperDiv5N7FQeNdfsDeRCLVRB8U+
OU60liE0nqP2X7bte91esX0nspE9bMEb88nopvRxFTNBXG/PoJoQvlFjrUXWbVELwUtfC4YAkcvq
eB2RVDysb8DuzJ1PztoiUPf6FAvw6WhfDouB4A==
`protect key_keyowner="Atrenta", key_keyname="ATR-SG-RSA-1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=384)
`protect key_block
k8QHc8h8draQ9agAUUfM6s9ta/pxWprdP2NhBlzOaFB4j4J1MHoYvPuMlWY3IJ+53iC58d58V0KQ
DSf99XKI/DpI43M7z3Rgp6WKRkU72yQMB/tXQk3sQKHKE6DVb5qPkMC13hq+bkDwfv5JMbQX9lwx
tWwloAcpFCR03nGPXqH3V+FDys7YFNmWUI7F6BYxX4W4/4feSosYPgETUP5gLQPnK2SXr1PUeuuW
7UIFcKUwBjx7S6gYUPq3hlO6AFN7ync67eZp8N1tozzGnF23XCk7gUU6srDt2QOWbA0sBL6TTsrI
WW1ADpBsIdstAGiZnMRRGDeA6rN2JiwulhuiWHQAuKSkUSbuIT6uPRmlnd31uhSh7aTrvogfUJSz
uGNb/TAHJkpHm4yL40iI8yO7JTcwLuy2ahdpE16AMDfZJFU4IGouo/H5+p6SO+jvzHZYkIWZusPm
nBK++GQUzk/PMlSRT0cCTnxIgVAFToP96NqnZtn2E47iYToxig/+YKBy
`protect key_keyowner="Cadence Design Systems.", key_keyname="CDS_RSA_KEY_VER_1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
LAw56NZhBnNhisjUaakOb87L4sBFj31jlxTUnVSf9FfOIV53do249b3JPp4K8xGqQsYrn2pUQ4U5
FOdo/y2m6aKiAdn9UA1Nx3HHK46586WxtcSd74xxYlIKJMy+xE1yeJIeWoC/+HvDmglnTzt9aJaA
6XKocO7esAOu13fafAa/D4l+73oqYb+v1wIcK34mj43NqEWg+qxUVG5vj9kDrx0l72qkTMtQeCMZ
j9Rr2RJ9uMO8H71F9qVSbAIun5zjMh+cd29z54TJZTanzFEdpk6UmY6Fopv5VuIykHN10J8fpmUH
HEQQJDGCCq33NL6UiradkAd+1GvEk6iYZmweRA==
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-PREC-RSA", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
moXqT8iZFSenaCnTT0oSjrcyyVRn1rIhZFFN1nGO5ZGwERivveAB5NjgT+n7pp34OPvQpO+9gK58
hz+ell15s8iHrEgVKVmfSkmyiyo9a/ceM7hQTxj1vTa3AnLAbLuxmmfMLXb/zoukvOwOcRtI0XGk
rgfijvE3BMj1CEEOwoBCZfJviMa2zgFS7ODlAop2eXpTXDuK2Du+76J/H0VnGwB+9yk5oxJlUrUT
+HQxAUXrqy4s3ZW/qQVSm5yNHmPEP8Gtom/ZrvBIPlGWP6Q+Nyr43fB6KjdSadXY4ie3M15E4i2B
EF6cK2QP68kwqBmkd/N9QUR4ogtI20OmJMlRJg==
`protect key_keyowner="Synplicity", key_keyname="SYNP15_1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
qgRUKukpvIPWp+mTXg0FUfGPmWUjF9954Eggl5iqcE5AS/+MqhmUGZCd1dunoqSDcgDwRw4ixrRK
cqjqx4q559J874PrON04/ufJbxAkCaH276sBQ/br+JTFbzOXo44dhD9fX2fsJ69X3Eufr9NDqpb/
x3yiYxjejt4JQPsavqHjPlgd937cYZmQ54oqRFRMIK8MsMQWwWS9XIH7p7unCVLXdDe6NjRtnIFA
dGN5YDVzhSNEGM/CMhBr7whKnzfp/R0YIKvZllXTZFNHiNZCXd7NZ8h9N3WykRcDwZyqEQE6aKy6
dr9FGqeI+a1Btg2zoXhlaZaklN5iNaFZj3UEuA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16272)
`protect data_block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`protect end_protected
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
port (
A : in STD_LOGIC_VECTOR ( 1 downto 0 );
S : out STD_LOGIC_VECTOR ( 1 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "top_c_addsub_0_1,c_addsub_v12_0_14,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "c_addsub_v12_0_14,Vivado 2022.1";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
signal NLW_U0_C_OUT_UNCONNECTED : STD_LOGIC;
attribute C_BORROW_LOW : integer;
attribute C_BORROW_LOW of U0 : label is 1;
attribute C_CE_OVERRIDES_BYPASS : integer;
attribute C_CE_OVERRIDES_BYPASS of U0 : label is 1;
attribute C_CE_OVERRIDES_SCLR : integer;
attribute C_CE_OVERRIDES_SCLR of U0 : label is 0;
attribute C_IMPLEMENTATION : integer;
attribute C_IMPLEMENTATION of U0 : label is 0;
attribute C_SCLR_OVERRIDES_SSET : integer;
attribute C_SCLR_OVERRIDES_SSET of U0 : label is 1;
attribute C_VERBOSITY : integer;
attribute C_VERBOSITY of U0 : label is 0;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of U0 : label is "artix7l";
attribute KEEP_HIERARCHY : string;
attribute KEEP_HIERARCHY of U0 : label is "soft";
attribute c_a_type : integer;
attribute c_a_type of U0 : label is 1;
attribute c_a_width : integer;
attribute c_a_width of U0 : label is 2;
attribute c_add_mode : integer;
attribute c_add_mode of U0 : label is 0;
attribute c_ainit_val : string;
attribute c_ainit_val of U0 : label is "0";
attribute c_b_constant : integer;
attribute c_b_constant of U0 : label is 1;
attribute c_b_type : integer;
attribute c_b_type of U0 : label is 1;
attribute c_b_value : string;
attribute c_b_value of U0 : label is "01";
attribute c_b_width : integer;
attribute c_b_width of U0 : label is 2;
attribute c_bypass_low : integer;
attribute c_bypass_low of U0 : label is 0;
attribute c_has_bypass : integer;
attribute c_has_bypass of U0 : label is 0;
attribute c_has_c_in : integer;
attribute c_has_c_in of U0 : label is 0;
attribute c_has_c_out : integer;
attribute c_has_c_out of U0 : label is 0;
attribute c_has_ce : integer;
attribute c_has_ce of U0 : label is 0;
attribute c_has_sclr : integer;
attribute c_has_sclr of U0 : label is 0;
attribute c_has_sinit : integer;
attribute c_has_sinit of U0 : label is 0;
attribute c_has_sset : integer;
attribute c_has_sset of U0 : label is 0;
attribute c_latency : integer;
attribute c_latency of U0 : label is 0;
attribute c_out_width : integer;
attribute c_out_width of U0 : label is 2;
attribute c_sinit_val : string;
attribute c_sinit_val of U0 : label is "0";
attribute downgradeipidentifiedwarnings of U0 : label is "yes";
attribute is_du_within_envelope : string;
attribute is_du_within_envelope of U0 : label is "true";
attribute x_interface_info : string;
attribute x_interface_info of A : signal is "xilinx.com:signal:data:1.0 a_intf DATA";
attribute x_interface_parameter : string;
attribute x_interface_parameter of A : signal is "XIL_INTERFACENAME a_intf, LAYERED_METADATA undef";
attribute x_interface_info of S : signal is "xilinx.com:signal:data:1.0 s_intf DATA";
attribute x_interface_parameter of S : signal is "XIL_INTERFACENAME s_intf, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {DATA {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value data} bitwidth {attribs {resolve_type generated dependency bitwidth format long minimum {} maximum {}} value 2} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0} integer {signed {attribs {resolve_type generated dependency signed format bool minimum {} maximum {}} value FALSE}}}} DATA_WIDTH 2}";
begin
U0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_c_addsub_v12_0_14
port map (
A(1 downto 0) => A(1 downto 0),
ADD => '1',
B(1 downto 0) => B"00",
BYPASS => '0',
CE => '1',
CLK => '0',
C_IN => '0',
C_OUT => NLW_U0_C_OUT_UNCONNECTED,
S(1 downto 0) => S(1 downto 0),
SCLR => '0',
SINIT => '0',
SSET => '0'
);
end STRUCTURE;

View file

@ -0,0 +1,21 @@
// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
// --------------------------------------------------------------------------------
// Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
// Date : Wed Jun 25 03:38:24 2025
// Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
// Command : write_verilog -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
// decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_c_addsub_0_1_stub.v
// Design : top_c_addsub_0_1
// Purpose : Stub declaration of top-level module interface
// Device : xc7a100tlfgg484-2L
// --------------------------------------------------------------------------------
// This empty module with port declaration file causes synthesis tools to infer a black box for IP.
// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion.
// Please paste the declaration into a Verilog source file or add the file as an additional source.
(* x_core_info = "c_addsub_v12_0_14,Vivado 2022.1" *)
module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix(A, S)
/* synthesis syn_black_box black_box_pad_pin="A[1:0],S[1:0]" */;
input [1:0]A;
output [1:0]S;
endmodule

View file

@ -0,0 +1,31 @@
-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
-- Date : Wed Jun 25 03:38:25 2025
-- Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
-- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_c_addsub_0_1_stub.vhdl
-- Design : top_c_addsub_0_1
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a100tlfgg484-2L
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
Port (
A : in STD_LOGIC_VECTOR ( 1 downto 0 );
S : out STD_LOGIC_VECTOR ( 1 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "A[1:0],S[1:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "c_addsub_v12_0_14,Vivado 2022.1";
begin
end;

File diff suppressed because it is too large Load diff

View file

@ -0,0 +1,2 @@
NumberHits:1
Timestamp: Thu Jun 26 02:22:16 UTC 2025

File diff suppressed because it is too large Load diff

File diff suppressed because it is too large Load diff

View file

@ -0,0 +1,65 @@
// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
// --------------------------------------------------------------------------------
// Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
// Date : Tue Jun 24 20:48:29 2025
// Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
// Command : write_verilog -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
// decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_xbar_0_stub.v
// Design : top_xbar_0
// Purpose : Stub declaration of top-level module interface
// Device : xc7a100tlfgg484-2L
// --------------------------------------------------------------------------------
// This empty module with port declaration file causes synthesis tools to infer a black box for IP.
// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion.
// Please paste the declaration into a Verilog source file or add the file as an additional source.
(* X_CORE_INFO = "axi_crossbar_v2_1_27_axi_crossbar,Vivado 2022.1" *)
module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix(aclk, aresetn, s_axi_awaddr, s_axi_awprot,
s_axi_awvalid, s_axi_awready, s_axi_wdata, s_axi_wstrb, s_axi_wvalid, s_axi_wready,
s_axi_bresp, s_axi_bvalid, s_axi_bready, s_axi_araddr, s_axi_arprot, s_axi_arvalid,
s_axi_arready, s_axi_rdata, s_axi_rresp, s_axi_rvalid, s_axi_rready, m_axi_awaddr,
m_axi_awprot, m_axi_awvalid, m_axi_awready, m_axi_wdata, m_axi_wstrb, m_axi_wvalid,
m_axi_wready, m_axi_bresp, m_axi_bvalid, m_axi_bready, m_axi_araddr, m_axi_arprot,
m_axi_arvalid, m_axi_arready, m_axi_rdata, m_axi_rresp, m_axi_rvalid, m_axi_rready)
/* synthesis syn_black_box black_box_pad_pin="aclk,aresetn,s_axi_awaddr[31:0],s_axi_awprot[2:0],s_axi_awvalid[0:0],s_axi_awready[0:0],s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wvalid[0:0],s_axi_wready[0:0],s_axi_bresp[1:0],s_axi_bvalid[0:0],s_axi_bready[0:0],s_axi_araddr[31:0],s_axi_arprot[2:0],s_axi_arvalid[0:0],s_axi_arready[0:0],s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rvalid[0:0],s_axi_rready[0:0],m_axi_awaddr[63:0],m_axi_awprot[5:0],m_axi_awvalid[1:0],m_axi_awready[1:0],m_axi_wdata[63:0],m_axi_wstrb[7:0],m_axi_wvalid[1:0],m_axi_wready[1:0],m_axi_bresp[3:0],m_axi_bvalid[1:0],m_axi_bready[1:0],m_axi_araddr[63:0],m_axi_arprot[5:0],m_axi_arvalid[1:0],m_axi_arready[1:0],m_axi_rdata[63:0],m_axi_rresp[3:0],m_axi_rvalid[1:0],m_axi_rready[1:0]" */;
input aclk;
input aresetn;
input [31:0]s_axi_awaddr;
input [2:0]s_axi_awprot;
input [0:0]s_axi_awvalid;
output [0:0]s_axi_awready;
input [31:0]s_axi_wdata;
input [3:0]s_axi_wstrb;
input [0:0]s_axi_wvalid;
output [0:0]s_axi_wready;
output [1:0]s_axi_bresp;
output [0:0]s_axi_bvalid;
input [0:0]s_axi_bready;
input [31:0]s_axi_araddr;
input [2:0]s_axi_arprot;
input [0:0]s_axi_arvalid;
output [0:0]s_axi_arready;
output [31:0]s_axi_rdata;
output [1:0]s_axi_rresp;
output [0:0]s_axi_rvalid;
input [0:0]s_axi_rready;
output [63:0]m_axi_awaddr;
output [5:0]m_axi_awprot;
output [1:0]m_axi_awvalid;
input [1:0]m_axi_awready;
output [63:0]m_axi_wdata;
output [7:0]m_axi_wstrb;
output [1:0]m_axi_wvalid;
input [1:0]m_axi_wready;
input [3:0]m_axi_bresp;
input [1:0]m_axi_bvalid;
output [1:0]m_axi_bready;
output [63:0]m_axi_araddr;
output [5:0]m_axi_arprot;
output [1:0]m_axi_arvalid;
input [1:0]m_axi_arready;
input [63:0]m_axi_rdata;
input [3:0]m_axi_rresp;
input [1:0]m_axi_rvalid;
output [1:0]m_axi_rready;
endmodule

View file

@ -0,0 +1,69 @@
-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
-- Date : Tue Jun 24 20:48:29 2025
-- Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
-- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_xbar_0_stub.vhdl
-- Design : top_xbar_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a100tlfgg484-2L
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
Port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awready : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arready : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 5 downto 0 );
m_axi_awvalid : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awready : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_wdata : out STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_wvalid : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_wready : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_bvalid : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bready : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 5 downto 0 );
m_axi_arvalid : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arready : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rdata : in STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_rvalid : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rready : out STD_LOGIC_VECTOR ( 1 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "aclk,aresetn,s_axi_awaddr[31:0],s_axi_awprot[2:0],s_axi_awvalid[0:0],s_axi_awready[0:0],s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wvalid[0:0],s_axi_wready[0:0],s_axi_bresp[1:0],s_axi_bvalid[0:0],s_axi_bready[0:0],s_axi_araddr[31:0],s_axi_arprot[2:0],s_axi_arvalid[0:0],s_axi_arready[0:0],s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rvalid[0:0],s_axi_rready[0:0],m_axi_awaddr[63:0],m_axi_awprot[5:0],m_axi_awvalid[1:0],m_axi_awready[1:0],m_axi_wdata[63:0],m_axi_wstrb[7:0],m_axi_wvalid[1:0],m_axi_wready[1:0],m_axi_bresp[3:0],m_axi_bvalid[1:0],m_axi_bready[1:0],m_axi_araddr[63:0],m_axi_arprot[5:0],m_axi_arvalid[1:0],m_axi_arready[1:0],m_axi_rdata[63:0],m_axi_rresp[3:0],m_axi_rvalid[1:0],m_axi_rready[1:0]";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of stub : architecture is "axi_crossbar_v2_1_27_axi_crossbar,Vivado 2022.1";
begin
end;

File diff suppressed because it is too large Load diff

View file

@ -0,0 +1,2 @@
NumberHits:1
Timestamp: Wed Jun 25 01:24:53 UTC 2025

File diff suppressed because one or more lines are too long

File diff suppressed because one or more lines are too long

View file

@ -0,0 +1,22 @@
// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
// --------------------------------------------------------------------------------
// Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
// Date : Tue Jun 24 22:34:57 2025
// Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
// Command : write_verilog -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
// decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ u_ila_0_stub.v
// Design : u_ila_0
// Purpose : Stub declaration of top-level module interface
// Device : xc7a100tlfgg484-2L
// --------------------------------------------------------------------------------
// This empty module with port declaration file causes synthesis tools to infer a black box for IP.
// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion.
// Please paste the declaration into a Verilog source file or add the file as an additional source.
(* X_CORE_INFO = "ila,Vivado 2022.1" *)
module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix(clk, probe0, probe1)
/* synthesis syn_black_box black_box_pad_pin="clk,probe0[31:0],probe1[31:0]" */;
input clk;
input [31:0]probe0;
input [31:0]probe1;
endmodule

View file

@ -0,0 +1,32 @@
-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
-- Date : Tue Jun 24 22:34:57 2025
-- Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
-- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ u_ila_0_stub.vhdl
-- Design : u_ila_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a100tlfgg484-2L
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
Port (
clk : in STD_LOGIC;
probe0 : in STD_LOGIC_VECTOR ( 31 downto 0 );
probe1 : in STD_LOGIC_VECTOR ( 31 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "clk,probe0[31:0],probe1[31:0]";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of stub : architecture is "ila,Vivado 2022.1";
begin
end;

File diff suppressed because it is too large Load diff

File diff suppressed because it is too large Load diff

File diff suppressed because it is too large Load diff

View file

@ -0,0 +1,65 @@
// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
// --------------------------------------------------------------------------------
// Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
// Date : Sat Jun 28 00:05:14 2025
// Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
// Command : write_verilog -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
// decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_xbar_0_stub.v
// Design : top_xbar_0
// Purpose : Stub declaration of top-level module interface
// Device : xc7a100tlfgg484-2L
// --------------------------------------------------------------------------------
// This empty module with port declaration file causes synthesis tools to infer a black box for IP.
// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion.
// Please paste the declaration into a Verilog source file or add the file as an additional source.
(* X_CORE_INFO = "axi_crossbar_v2_1_27_axi_crossbar,Vivado 2022.1" *)
module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix(aclk, aresetn, s_axi_awaddr, s_axi_awprot,
s_axi_awvalid, s_axi_awready, s_axi_wdata, s_axi_wstrb, s_axi_wvalid, s_axi_wready,
s_axi_bresp, s_axi_bvalid, s_axi_bready, s_axi_araddr, s_axi_arprot, s_axi_arvalid,
s_axi_arready, s_axi_rdata, s_axi_rresp, s_axi_rvalid, s_axi_rready, m_axi_awaddr,
m_axi_awprot, m_axi_awvalid, m_axi_awready, m_axi_wdata, m_axi_wstrb, m_axi_wvalid,
m_axi_wready, m_axi_bresp, m_axi_bvalid, m_axi_bready, m_axi_araddr, m_axi_arprot,
m_axi_arvalid, m_axi_arready, m_axi_rdata, m_axi_rresp, m_axi_rvalid, m_axi_rready)
/* synthesis syn_black_box black_box_pad_pin="aclk,aresetn,s_axi_awaddr[31:0],s_axi_awprot[2:0],s_axi_awvalid[0:0],s_axi_awready[0:0],s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wvalid[0:0],s_axi_wready[0:0],s_axi_bresp[1:0],s_axi_bvalid[0:0],s_axi_bready[0:0],s_axi_araddr[31:0],s_axi_arprot[2:0],s_axi_arvalid[0:0],s_axi_arready[0:0],s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rvalid[0:0],s_axi_rready[0:0],m_axi_awaddr[63:0],m_axi_awprot[5:0],m_axi_awvalid[1:0],m_axi_awready[1:0],m_axi_wdata[63:0],m_axi_wstrb[7:0],m_axi_wvalid[1:0],m_axi_wready[1:0],m_axi_bresp[3:0],m_axi_bvalid[1:0],m_axi_bready[1:0],m_axi_araddr[63:0],m_axi_arprot[5:0],m_axi_arvalid[1:0],m_axi_arready[1:0],m_axi_rdata[63:0],m_axi_rresp[3:0],m_axi_rvalid[1:0],m_axi_rready[1:0]" */;
input aclk;
input aresetn;
input [31:0]s_axi_awaddr;
input [2:0]s_axi_awprot;
input [0:0]s_axi_awvalid;
output [0:0]s_axi_awready;
input [31:0]s_axi_wdata;
input [3:0]s_axi_wstrb;
input [0:0]s_axi_wvalid;
output [0:0]s_axi_wready;
output [1:0]s_axi_bresp;
output [0:0]s_axi_bvalid;
input [0:0]s_axi_bready;
input [31:0]s_axi_araddr;
input [2:0]s_axi_arprot;
input [0:0]s_axi_arvalid;
output [0:0]s_axi_arready;
output [31:0]s_axi_rdata;
output [1:0]s_axi_rresp;
output [0:0]s_axi_rvalid;
input [0:0]s_axi_rready;
output [63:0]m_axi_awaddr;
output [5:0]m_axi_awprot;
output [1:0]m_axi_awvalid;
input [1:0]m_axi_awready;
output [63:0]m_axi_wdata;
output [7:0]m_axi_wstrb;
output [1:0]m_axi_wvalid;
input [1:0]m_axi_wready;
input [3:0]m_axi_bresp;
input [1:0]m_axi_bvalid;
output [1:0]m_axi_bready;
output [63:0]m_axi_araddr;
output [5:0]m_axi_arprot;
output [1:0]m_axi_arvalid;
input [1:0]m_axi_arready;
input [63:0]m_axi_rdata;
input [3:0]m_axi_rresp;
input [1:0]m_axi_rvalid;
output [1:0]m_axi_rready;
endmodule

View file

@ -0,0 +1,69 @@
-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
-- Date : Sat Jun 28 00:05:14 2025
-- Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
-- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_xbar_0_stub.vhdl
-- Design : top_xbar_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a100tlfgg484-2L
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
Port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awready : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arready : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 5 downto 0 );
m_axi_awvalid : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awready : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_wdata : out STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_wvalid : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_wready : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_bvalid : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bready : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 5 downto 0 );
m_axi_arvalid : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arready : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rdata : in STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_rvalid : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rready : out STD_LOGIC_VECTOR ( 1 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "aclk,aresetn,s_axi_awaddr[31:0],s_axi_awprot[2:0],s_axi_awvalid[0:0],s_axi_awready[0:0],s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wvalid[0:0],s_axi_wready[0:0],s_axi_bresp[1:0],s_axi_bvalid[0:0],s_axi_bready[0:0],s_axi_araddr[31:0],s_axi_arprot[2:0],s_axi_arvalid[0:0],s_axi_arready[0:0],s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rvalid[0:0],s_axi_rready[0:0],m_axi_awaddr[63:0],m_axi_awprot[5:0],m_axi_awvalid[1:0],m_axi_awready[1:0],m_axi_wdata[63:0],m_axi_wstrb[7:0],m_axi_wvalid[1:0],m_axi_wready[1:0],m_axi_bresp[3:0],m_axi_bvalid[1:0],m_axi_bready[1:0],m_axi_araddr[63:0],m_axi_arprot[5:0],m_axi_arvalid[1:0],m_axi_arready[1:0],m_axi_rdata[63:0],m_axi_rresp[3:0],m_axi_rvalid[1:0],m_axi_rready[1:0]";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of stub : architecture is "axi_crossbar_v2_1_27_axi_crossbar,Vivado 2022.1";
begin
end;

File diff suppressed because it is too large Load diff

File diff suppressed because it is too large Load diff

File diff suppressed because it is too large Load diff

View file

@ -0,0 +1,65 @@
// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
// --------------------------------------------------------------------------------
// Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
// Date : Fri Jun 27 22:32:29 2025
// Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
// Command : write_verilog -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
// decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_xbar_0_stub.v
// Design : top_xbar_0
// Purpose : Stub declaration of top-level module interface
// Device : xc7a100tlfgg484-2L
// --------------------------------------------------------------------------------
// This empty module with port declaration file causes synthesis tools to infer a black box for IP.
// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion.
// Please paste the declaration into a Verilog source file or add the file as an additional source.
(* X_CORE_INFO = "axi_crossbar_v2_1_27_axi_crossbar,Vivado 2022.1" *)
module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix(aclk, aresetn, s_axi_awaddr, s_axi_awprot,
s_axi_awvalid, s_axi_awready, s_axi_wdata, s_axi_wstrb, s_axi_wvalid, s_axi_wready,
s_axi_bresp, s_axi_bvalid, s_axi_bready, s_axi_araddr, s_axi_arprot, s_axi_arvalid,
s_axi_arready, s_axi_rdata, s_axi_rresp, s_axi_rvalid, s_axi_rready, m_axi_awaddr,
m_axi_awprot, m_axi_awvalid, m_axi_awready, m_axi_wdata, m_axi_wstrb, m_axi_wvalid,
m_axi_wready, m_axi_bresp, m_axi_bvalid, m_axi_bready, m_axi_araddr, m_axi_arprot,
m_axi_arvalid, m_axi_arready, m_axi_rdata, m_axi_rresp, m_axi_rvalid, m_axi_rready)
/* synthesis syn_black_box black_box_pad_pin="aclk,aresetn,s_axi_awaddr[31:0],s_axi_awprot[2:0],s_axi_awvalid[0:0],s_axi_awready[0:0],s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wvalid[0:0],s_axi_wready[0:0],s_axi_bresp[1:0],s_axi_bvalid[0:0],s_axi_bready[0:0],s_axi_araddr[31:0],s_axi_arprot[2:0],s_axi_arvalid[0:0],s_axi_arready[0:0],s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rvalid[0:0],s_axi_rready[0:0],m_axi_awaddr[63:0],m_axi_awprot[5:0],m_axi_awvalid[1:0],m_axi_awready[1:0],m_axi_wdata[63:0],m_axi_wstrb[7:0],m_axi_wvalid[1:0],m_axi_wready[1:0],m_axi_bresp[3:0],m_axi_bvalid[1:0],m_axi_bready[1:0],m_axi_araddr[63:0],m_axi_arprot[5:0],m_axi_arvalid[1:0],m_axi_arready[1:0],m_axi_rdata[63:0],m_axi_rresp[3:0],m_axi_rvalid[1:0],m_axi_rready[1:0]" */;
input aclk;
input aresetn;
input [31:0]s_axi_awaddr;
input [2:0]s_axi_awprot;
input [0:0]s_axi_awvalid;
output [0:0]s_axi_awready;
input [31:0]s_axi_wdata;
input [3:0]s_axi_wstrb;
input [0:0]s_axi_wvalid;
output [0:0]s_axi_wready;
output [1:0]s_axi_bresp;
output [0:0]s_axi_bvalid;
input [0:0]s_axi_bready;
input [31:0]s_axi_araddr;
input [2:0]s_axi_arprot;
input [0:0]s_axi_arvalid;
output [0:0]s_axi_arready;
output [31:0]s_axi_rdata;
output [1:0]s_axi_rresp;
output [0:0]s_axi_rvalid;
input [0:0]s_axi_rready;
output [63:0]m_axi_awaddr;
output [5:0]m_axi_awprot;
output [1:0]m_axi_awvalid;
input [1:0]m_axi_awready;
output [63:0]m_axi_wdata;
output [7:0]m_axi_wstrb;
output [1:0]m_axi_wvalid;
input [1:0]m_axi_wready;
input [3:0]m_axi_bresp;
input [1:0]m_axi_bvalid;
output [1:0]m_axi_bready;
output [63:0]m_axi_araddr;
output [5:0]m_axi_arprot;
output [1:0]m_axi_arvalid;
input [1:0]m_axi_arready;
input [63:0]m_axi_rdata;
input [3:0]m_axi_rresp;
input [1:0]m_axi_rvalid;
output [1:0]m_axi_rready;
endmodule

View file

@ -0,0 +1,69 @@
-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
-- Date : Fri Jun 27 22:32:29 2025
-- Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
-- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_xbar_0_stub.vhdl
-- Design : top_xbar_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a100tlfgg484-2L
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
Port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awready : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arready : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 5 downto 0 );
m_axi_awvalid : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awready : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_wdata : out STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_wvalid : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_wready : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_bvalid : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bready : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 5 downto 0 );
m_axi_arvalid : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arready : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rdata : in STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_rvalid : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rready : out STD_LOGIC_VECTOR ( 1 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "aclk,aresetn,s_axi_awaddr[31:0],s_axi_awprot[2:0],s_axi_awvalid[0:0],s_axi_awready[0:0],s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wvalid[0:0],s_axi_wready[0:0],s_axi_bresp[1:0],s_axi_bvalid[0:0],s_axi_bready[0:0],s_axi_araddr[31:0],s_axi_arprot[2:0],s_axi_arvalid[0:0],s_axi_arready[0:0],s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rvalid[0:0],s_axi_rready[0:0],m_axi_awaddr[63:0],m_axi_awprot[5:0],m_axi_awvalid[1:0],m_axi_awready[1:0],m_axi_wdata[63:0],m_axi_wstrb[7:0],m_axi_wvalid[1:0],m_axi_wready[1:0],m_axi_bresp[3:0],m_axi_bvalid[1:0],m_axi_bready[1:0],m_axi_araddr[63:0],m_axi_arprot[5:0],m_axi_arvalid[1:0],m_axi_arready[1:0],m_axi_rdata[63:0],m_axi_rresp[3:0],m_axi_rvalid[1:0],m_axi_rready[1:0]";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of stub : architecture is "axi_crossbar_v2_1_27_axi_crossbar,Vivado 2022.1";
begin
end;

View file

@ -0,0 +1,55 @@
<?xml version="1.0" encoding="UTF-8"?>
<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
<spirit:vendor>xilinx.com</spirit:vendor>
<spirit:library>ipcache</spirit:library>
<spirit:name>8449cb716dca5a8f</spirit:name>
<spirit:version>0</spirit:version>
<spirit:componentInstances>
<spirit:componentInstance>
<spirit:instanceName>top_axi_gpio_1_1</spirit:instanceName>
<spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="axi_gpio" spirit:version="2.0"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.FREQ_HZ">125000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_ACLK.FREQ_HZ">125000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_ALL_INPUTS">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_ALL_INPUTS_2">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_ALL_OUTPUTS">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_ALL_OUTPUTS_2">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_DOUT_DEFAULT">0x00000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_DOUT_DEFAULT_2">0x00000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_GPIO2_WIDTH">32</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_GPIO_WIDTH">32</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_INTERRUPT_PRESENT">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_IS_DUAL">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_TRI_DEFAULT">0xFFFFFFFF</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_TRI_DEFAULT_2">0xFFFFFFFF</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">top_axi_gpio_1_1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.GPIO2_BOARD_INTERFACE">Custom</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.GPIO_BOARD_INTERFACE">Custom</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_BOARD_FLOW">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">artix7</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7a100tl</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">fgg484</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VERILOG</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-2L</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.STATIC_POWER"/>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE">E</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHEID">8449cb716dca5a8f</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHCL">$Change: 3513466 $</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHCRC">a0bc1b9c</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHRUNTIME">40</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Unknown</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">28</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2022.1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">GLOBAL</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
</spirit:componentInstances>
</spirit:design>

File diff suppressed because it is too large Load diff

File diff suppressed because it is too large Load diff

View file

@ -0,0 +1,42 @@
// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
// --------------------------------------------------------------------------------
// Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
// Date : Tue Jun 24 20:49:26 2025
// Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
// Command : write_verilog -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
// decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_axi_gpio_1_1_stub.v
// Design : top_axi_gpio_1_1
// Purpose : Stub declaration of top-level module interface
// Device : xc7a100tlfgg484-2L
// --------------------------------------------------------------------------------
// This empty module with port declaration file causes synthesis tools to infer a black box for IP.
// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion.
// Please paste the declaration into a Verilog source file or add the file as an additional source.
(* x_core_info = "axi_gpio,Vivado 2022.1" *)
module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix(s_axi_aclk, s_axi_aresetn, s_axi_awaddr,
s_axi_awvalid, s_axi_awready, s_axi_wdata, s_axi_wstrb, s_axi_wvalid, s_axi_wready,
s_axi_bresp, s_axi_bvalid, s_axi_bready, s_axi_araddr, s_axi_arvalid, s_axi_arready,
s_axi_rdata, s_axi_rresp, s_axi_rvalid, s_axi_rready, gpio_io_i)
/* synthesis syn_black_box black_box_pad_pin="s_axi_aclk,s_axi_aresetn,s_axi_awaddr[8:0],s_axi_awvalid,s_axi_awready,s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wvalid,s_axi_wready,s_axi_bresp[1:0],s_axi_bvalid,s_axi_bready,s_axi_araddr[8:0],s_axi_arvalid,s_axi_arready,s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rvalid,s_axi_rready,gpio_io_i[31:0]" */;
input s_axi_aclk;
input s_axi_aresetn;
input [8:0]s_axi_awaddr;
input s_axi_awvalid;
output s_axi_awready;
input [31:0]s_axi_wdata;
input [3:0]s_axi_wstrb;
input s_axi_wvalid;
output s_axi_wready;
output [1:0]s_axi_bresp;
output s_axi_bvalid;
input s_axi_bready;
input [8:0]s_axi_araddr;
input s_axi_arvalid;
output s_axi_arready;
output [31:0]s_axi_rdata;
output [1:0]s_axi_rresp;
output s_axi_rvalid;
input s_axi_rready;
input [31:0]gpio_io_i;
endmodule

View file

@ -0,0 +1,49 @@
-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
-- Date : Tue Jun 24 20:49:26 2025
-- Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
-- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_axi_gpio_1_1_stub.vhdl
-- Design : top_axi_gpio_1_1
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a100tlfgg484-2L
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
Port (
s_axi_aclk : in STD_LOGIC;
s_axi_aresetn : in STD_LOGIC;
s_axi_awaddr : in STD_LOGIC_VECTOR ( 8 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_araddr : in STD_LOGIC_VECTOR ( 8 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
gpio_io_i : in STD_LOGIC_VECTOR ( 31 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "s_axi_aclk,s_axi_aresetn,s_axi_awaddr[8:0],s_axi_awvalid,s_axi_awready,s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wvalid,s_axi_wready,s_axi_bresp[1:0],s_axi_bvalid,s_axi_bready,s_axi_araddr[8:0],s_axi_arvalid,s_axi_arready,s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rvalid,s_axi_rready,gpio_io_i[31:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "axi_gpio,Vivado 2022.1";
begin
end;

View file

@ -1,2 +1,2 @@
NumberHits:1
Timestamp: Tue Jun 24 12:25:38 UTC 2025
NumberHits:11
Timestamp: Sat Jun 28 02:34:41 UTC 2025

File diff suppressed because it is too large Load diff

File diff suppressed because it is too large Load diff

File diff suppressed because it is too large Load diff

View file

@ -0,0 +1,65 @@
// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
// --------------------------------------------------------------------------------
// Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
// Date : Wed Jun 25 01:21:34 2025
// Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
// Command : write_verilog -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
// decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_xbar_0_stub.v
// Design : top_xbar_0
// Purpose : Stub declaration of top-level module interface
// Device : xc7a100tlfgg484-2L
// --------------------------------------------------------------------------------
// This empty module with port declaration file causes synthesis tools to infer a black box for IP.
// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion.
// Please paste the declaration into a Verilog source file or add the file as an additional source.
(* X_CORE_INFO = "axi_crossbar_v2_1_27_axi_crossbar,Vivado 2022.1" *)
module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix(aclk, aresetn, s_axi_awaddr, s_axi_awprot,
s_axi_awvalid, s_axi_awready, s_axi_wdata, s_axi_wstrb, s_axi_wvalid, s_axi_wready,
s_axi_bresp, s_axi_bvalid, s_axi_bready, s_axi_araddr, s_axi_arprot, s_axi_arvalid,
s_axi_arready, s_axi_rdata, s_axi_rresp, s_axi_rvalid, s_axi_rready, m_axi_awaddr,
m_axi_awprot, m_axi_awvalid, m_axi_awready, m_axi_wdata, m_axi_wstrb, m_axi_wvalid,
m_axi_wready, m_axi_bresp, m_axi_bvalid, m_axi_bready, m_axi_araddr, m_axi_arprot,
m_axi_arvalid, m_axi_arready, m_axi_rdata, m_axi_rresp, m_axi_rvalid, m_axi_rready)
/* synthesis syn_black_box black_box_pad_pin="aclk,aresetn,s_axi_awaddr[31:0],s_axi_awprot[2:0],s_axi_awvalid[0:0],s_axi_awready[0:0],s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wvalid[0:0],s_axi_wready[0:0],s_axi_bresp[1:0],s_axi_bvalid[0:0],s_axi_bready[0:0],s_axi_araddr[31:0],s_axi_arprot[2:0],s_axi_arvalid[0:0],s_axi_arready[0:0],s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rvalid[0:0],s_axi_rready[0:0],m_axi_awaddr[63:0],m_axi_awprot[5:0],m_axi_awvalid[1:0],m_axi_awready[1:0],m_axi_wdata[63:0],m_axi_wstrb[7:0],m_axi_wvalid[1:0],m_axi_wready[1:0],m_axi_bresp[3:0],m_axi_bvalid[1:0],m_axi_bready[1:0],m_axi_araddr[63:0],m_axi_arprot[5:0],m_axi_arvalid[1:0],m_axi_arready[1:0],m_axi_rdata[63:0],m_axi_rresp[3:0],m_axi_rvalid[1:0],m_axi_rready[1:0]" */;
input aclk;
input aresetn;
input [31:0]s_axi_awaddr;
input [2:0]s_axi_awprot;
input [0:0]s_axi_awvalid;
output [0:0]s_axi_awready;
input [31:0]s_axi_wdata;
input [3:0]s_axi_wstrb;
input [0:0]s_axi_wvalid;
output [0:0]s_axi_wready;
output [1:0]s_axi_bresp;
output [0:0]s_axi_bvalid;
input [0:0]s_axi_bready;
input [31:0]s_axi_araddr;
input [2:0]s_axi_arprot;
input [0:0]s_axi_arvalid;
output [0:0]s_axi_arready;
output [31:0]s_axi_rdata;
output [1:0]s_axi_rresp;
output [0:0]s_axi_rvalid;
input [0:0]s_axi_rready;
output [63:0]m_axi_awaddr;
output [5:0]m_axi_awprot;
output [1:0]m_axi_awvalid;
input [1:0]m_axi_awready;
output [63:0]m_axi_wdata;
output [7:0]m_axi_wstrb;
output [1:0]m_axi_wvalid;
input [1:0]m_axi_wready;
input [3:0]m_axi_bresp;
input [1:0]m_axi_bvalid;
output [1:0]m_axi_bready;
output [63:0]m_axi_araddr;
output [5:0]m_axi_arprot;
output [1:0]m_axi_arvalid;
input [1:0]m_axi_arready;
input [63:0]m_axi_rdata;
input [3:0]m_axi_rresp;
input [1:0]m_axi_rvalid;
output [1:0]m_axi_rready;
endmodule

View file

@ -0,0 +1,69 @@
-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
-- Date : Wed Jun 25 01:21:34 2025
-- Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
-- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_xbar_0_stub.vhdl
-- Design : top_xbar_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a100tlfgg484-2L
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
Port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awready : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arready : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 5 downto 0 );
m_axi_awvalid : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awready : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_wdata : out STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_wvalid : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_wready : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_bvalid : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bready : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 5 downto 0 );
m_axi_arvalid : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arready : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rdata : in STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_rvalid : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rready : out STD_LOGIC_VECTOR ( 1 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "aclk,aresetn,s_axi_awaddr[31:0],s_axi_awprot[2:0],s_axi_awvalid[0:0],s_axi_awready[0:0],s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wvalid[0:0],s_axi_wready[0:0],s_axi_bresp[1:0],s_axi_bvalid[0:0],s_axi_bready[0:0],s_axi_araddr[31:0],s_axi_arprot[2:0],s_axi_arvalid[0:0],s_axi_arready[0:0],s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rvalid[0:0],s_axi_rready[0:0],m_axi_awaddr[63:0],m_axi_awprot[5:0],m_axi_awvalid[1:0],m_axi_awready[1:0],m_axi_wdata[63:0],m_axi_wstrb[7:0],m_axi_wvalid[1:0],m_axi_wready[1:0],m_axi_bresp[3:0],m_axi_bvalid[1:0],m_axi_bready[1:0],m_axi_araddr[63:0],m_axi_arprot[5:0],m_axi_arvalid[1:0],m_axi_arready[1:0],m_axi_rdata[63:0],m_axi_rresp[3:0],m_axi_rvalid[1:0],m_axi_rready[1:0]";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of stub : architecture is "axi_crossbar_v2_1_27_axi_crossbar,Vivado 2022.1";
begin
end;

View file

@ -0,0 +1,55 @@
<?xml version="1.0" encoding="UTF-8"?>
<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
<spirit:vendor>xilinx.com</spirit:vendor>
<spirit:library>ipcache</spirit:library>
<spirit:name>a13053a0ed7edce4</spirit:name>
<spirit:version>0</spirit:version>
<spirit:componentInstances>
<spirit:componentInstance>
<spirit:instanceName>top_axi_gpio_0_0</spirit:instanceName>
<spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="axi_gpio" spirit:version="2.0"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.FREQ_HZ">125000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_ACLK.FREQ_HZ">125000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_ALL_INPUTS">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_ALL_INPUTS_2">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_ALL_OUTPUTS">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_ALL_OUTPUTS_2">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_DOUT_DEFAULT">0x00000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_DOUT_DEFAULT_2">0x00000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_GPIO2_WIDTH">32</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_GPIO_WIDTH">32</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_INTERRUPT_PRESENT">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_IS_DUAL">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_TRI_DEFAULT">0xFFFFFFFF</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_TRI_DEFAULT_2">0xFFFFFFFF</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">top_axi_gpio_0_0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.GPIO2_BOARD_INTERFACE">Custom</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.GPIO_BOARD_INTERFACE">Custom</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_BOARD_FLOW">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">artix7</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7a100tl</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">fgg484</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VERILOG</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-2L</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.STATIC_POWER"/>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE">E</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHEID">a13053a0ed7edce4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHCL">$Change: 3513466 $</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHCRC">a0bc1b9c</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHRUNTIME">49</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Unknown</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">28</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2022.1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">GLOBAL</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
</spirit:componentInstances>
</spirit:design>

File diff suppressed because it is too large Load diff

File diff suppressed because it is too large Load diff

View file

@ -0,0 +1,42 @@
// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
// --------------------------------------------------------------------------------
// Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
// Date : Tue Jun 24 20:47:14 2025
// Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
// Command : write_verilog -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
// decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_axi_gpio_0_0_stub.v
// Design : top_axi_gpio_0_0
// Purpose : Stub declaration of top-level module interface
// Device : xc7a100tlfgg484-2L
// --------------------------------------------------------------------------------
// This empty module with port declaration file causes synthesis tools to infer a black box for IP.
// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion.
// Please paste the declaration into a Verilog source file or add the file as an additional source.
(* x_core_info = "axi_gpio,Vivado 2022.1" *)
module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix(s_axi_aclk, s_axi_aresetn, s_axi_awaddr,
s_axi_awvalid, s_axi_awready, s_axi_wdata, s_axi_wstrb, s_axi_wvalid, s_axi_wready,
s_axi_bresp, s_axi_bvalid, s_axi_bready, s_axi_araddr, s_axi_arvalid, s_axi_arready,
s_axi_rdata, s_axi_rresp, s_axi_rvalid, s_axi_rready, gpio_io_o)
/* synthesis syn_black_box black_box_pad_pin="s_axi_aclk,s_axi_aresetn,s_axi_awaddr[8:0],s_axi_awvalid,s_axi_awready,s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wvalid,s_axi_wready,s_axi_bresp[1:0],s_axi_bvalid,s_axi_bready,s_axi_araddr[8:0],s_axi_arvalid,s_axi_arready,s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rvalid,s_axi_rready,gpio_io_o[31:0]" */;
input s_axi_aclk;
input s_axi_aresetn;
input [8:0]s_axi_awaddr;
input s_axi_awvalid;
output s_axi_awready;
input [31:0]s_axi_wdata;
input [3:0]s_axi_wstrb;
input s_axi_wvalid;
output s_axi_wready;
output [1:0]s_axi_bresp;
output s_axi_bvalid;
input s_axi_bready;
input [8:0]s_axi_araddr;
input s_axi_arvalid;
output s_axi_arready;
output [31:0]s_axi_rdata;
output [1:0]s_axi_rresp;
output s_axi_rvalid;
input s_axi_rready;
output [31:0]gpio_io_o;
endmodule

View file

@ -0,0 +1,49 @@
-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
-- Date : Tue Jun 24 20:47:14 2025
-- Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
-- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_axi_gpio_0_0_stub.vhdl
-- Design : top_axi_gpio_0_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a100tlfgg484-2L
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
Port (
s_axi_aclk : in STD_LOGIC;
s_axi_aresetn : in STD_LOGIC;
s_axi_awaddr : in STD_LOGIC_VECTOR ( 8 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_araddr : in STD_LOGIC_VECTOR ( 8 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
gpio_io_o : out STD_LOGIC_VECTOR ( 31 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "s_axi_aclk,s_axi_aresetn,s_axi_awaddr[8:0],s_axi_awvalid,s_axi_awready,s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wvalid,s_axi_wready,s_axi_bresp[1:0],s_axi_bvalid,s_axi_bready,s_axi_araddr[8:0],s_axi_arvalid,s_axi_arready,s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rvalid,s_axi_rready,gpio_io_o[31:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "axi_gpio,Vivado 2022.1";
begin
end;

View file

@ -0,0 +1,985 @@
<?xml version="1.0" encoding="UTF-8"?>
<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
<spirit:vendor>xilinx.com</spirit:vendor>
<spirit:library>ipcache</spirit:library>
<spirit:name>c854e023025097d8</spirit:name>
<spirit:version>0</spirit:version>
<spirit:componentInstances>
<spirit:componentInstance>
<spirit:instanceName>top_xdma_0_0</spirit:instanceName>
<spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="xdma" spirit:version="4.1"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLK.AXI_ACLK.FREQ_HZ">125000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLK.SYS_CLK.FREQ_HZ">100000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.FREQ_HZ">125000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI_LITE.FREQ_HZ">125000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.BASEADDR">0x00001000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">top_xdma_0_0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EGW_IS_PARENT_IP">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.HIGHADDR">0x00001FFF</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INS_LOSS_NYQ">15</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MSI_X_OPTIONS">None</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCIE_BOARD_INTERFACE">Custom</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF0_DEVICE_ID_mqdma">9014</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF0_MSIX_CAP_PBA_BIR_mqdma">BAR_0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF0_MSIX_CAP_PBA_OFFSET_mqdma">00000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF0_MSIX_CAP_TABLE_BIR_mqdma">BAR_0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF0_MSIX_CAP_TABLE_OFFSET_mqdma">00000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF0_MSIX_CAP_TABLE_SIZE_mqdma">000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF0_REVISION_ID_mqdma">00</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF0_SRIOV_CAP_INITIAL_VF">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF0_SRIOV_FIRST_VF_OFFSET">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF0_SRIOV_FUNC_DEP_LINK">0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF0_SRIOV_SUPPORTED_PAGE_SIZE">00000553</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF0_SRIOV_VF_DEVICE_ID">A034</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF0_SUBSYSTEM_ID_mqdma">0007</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF0_SUBSYSTEM_VENDOR_ID_mqdma">10EE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF1_DEVICE_ID_mqdma">0007</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF1_INTERRUPT_PIN">NONE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF1_MSIX_CAP_PBA_BIR_mqdma">BAR_0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF1_MSIX_CAP_PBA_OFFSET_mqdma">00000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF1_MSIX_CAP_TABLE_BIR_mqdma">BAR_0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF1_MSIX_CAP_TABLE_OFFSET_mqdma">00000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF1_MSIX_CAP_TABLE_SIZE_mqdma">000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF1_MSI_CAP_MULTIMSGCAP">1_vector</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF1_REVISION_ID">00</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF1_REVISION_ID_mqdma">00</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF1_SRIOV_CAP_INITIAL_VF">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF1_SRIOV_CAP_VER">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF1_SRIOV_FIRST_VF_OFFSET">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF1_SRIOV_FUNC_DEP_LINK">0001</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF1_SRIOV_SUPPORTED_PAGE_SIZE">00000553</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF1_SRIOV_VF_DEVICE_ID">A134</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF1_SUBSYSTEM_ID">0007</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF1_SUBSYSTEM_ID_mqdma">0007</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF1_SUBSYSTEM_VENDOR_ID">10EE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF1_SUBSYSTEM_VENDOR_ID_mqdma">10EE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF1_Use_Class_Code_Lookup_Assistant">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF2_DEVICE_ID_mqdma">9214</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF2_INTERRUPT_PIN">NONE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF2_MSIX_CAP_PBA_BIR_mqdma">BAR_0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF2_MSIX_CAP_PBA_OFFSET_mqdma">00000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF2_MSIX_CAP_TABLE_BIR_mqdma">BAR_0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF2_MSIX_CAP_TABLE_OFFSET_mqdma">00000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF2_MSIX_CAP_TABLE_SIZE_mqdma">000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF2_MSI_CAP_MULTIMSGCAP">1_vector</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF2_REVISION_ID">00</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF2_REVISION_ID_mqdma">00</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF2_SRIOV_CAP_INITIAL_VF">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF2_SRIOV_CAP_VER">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF2_SRIOV_FIRST_VF_OFFSET">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF2_SRIOV_FUNC_DEP_LINK">0002</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF2_SRIOV_SUPPORTED_PAGE_SIZE">00000553</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF2_SRIOV_VF_DEVICE_ID">A234</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF2_SUBSYSTEM_ID">0007</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF2_SUBSYSTEM_ID_mqdma">0007</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF2_SUBSYSTEM_VENDOR_ID">10EE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF2_SUBSYSTEM_VENDOR_ID_mqdma">10EE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF2_Use_Class_Code_Lookup_Assistant">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF3_DEVICE_ID_mqdma">9314</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF3_INTERRUPT_PIN">NONE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF3_MSIX_CAP_PBA_BIR_mqdma">BAR_0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF3_MSIX_CAP_PBA_OFFSET_mqdma">00000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF3_MSIX_CAP_TABLE_BIR_mqdma">BAR_0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF3_MSIX_CAP_TABLE_OFFSET_mqdma">00000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF3_MSIX_CAP_TABLE_SIZE_mqdma">000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF3_MSI_CAP_MULTIMSGCAP">1_vector</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF3_REVISION_ID">00</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF3_REVISION_ID_mqdma">00</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF3_SRIOV_CAP_INITIAL_VF">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF3_SRIOV_CAP_VER">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF3_SRIOV_FIRST_VF_OFFSET">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF3_SRIOV_FUNC_DEP_LINK">0003</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF3_SRIOV_SUPPORTED_PAGE_SIZE">00000553</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF3_SRIOV_VF_DEVICE_ID">A334</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF3_SUBSYSTEM_ID">0007</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF3_SUBSYSTEM_ID_mqdma">0007</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF3_SUBSYSTEM_VENDOR_ID">10EE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF3_SUBSYSTEM_VENDOR_ID_mqdma">10EE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PF3_Use_Class_Code_Lookup_Assistant">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PHY_LP_TXPRESET">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RX_PPM_OFFSET">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RX_SSC_PPM">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SRIOV_CAP_ENABLE">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SRIOV_FIRST_VF_OFFSET">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SYS_RST_N_BOARD_INTERFACE">Custom</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Shared_Logic">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Shared_Logic_Both">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Shared_Logic_Both_7xG2">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Shared_Logic_Clk">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Shared_Logic_Clk_7xG2">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Shared_Logic_Gtc">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Shared_Logic_Gtc_7xG2">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.acs_ext_cap_enable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.aspm_support">No_ASPM</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axi_aclk_loopback">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axi_addr_width">64</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axi_bypass_64bit_en">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axi_bypass_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axi_data_width">64_bit</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axi_id_width">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axi_vip_in_exdes">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axibar2pciebar_0">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axibar2pciebar_1">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axibar2pciebar_2">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axibar2pciebar_3">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axibar2pciebar_4">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axibar2pciebar_5">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axibar_0">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axibar_1">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axibar_2">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axibar_3">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axibar_4">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axibar_5">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axibar_highaddr_0">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axibar_highaddr_1">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axibar_highaddr_2">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axibar_highaddr_3">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axibar_highaddr_4">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axibar_highaddr_5">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axibar_num">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axil_master_64bit_en">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axil_master_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axilite_master_en">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axilite_master_scale">Megabytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axilite_master_size">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axis_pipe_line_stage">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axist_bypass_en">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axist_bypass_scale">Megabytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axist_bypass_size">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axisten_freq">125</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axisten_if_enable_msg_route">27FFF</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axsize_byte_access_en">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.bar0_indicator">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.bar1_indicator">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.bar2_indicator">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.bar3_indicator">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.bar4_indicator">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.bar5_indicator">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.bar_indicator">BAR_0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.barlite2">7</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.bridge_burst">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.bridge_registers_offset_enable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.c_ats_enable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.c_ats_switch_unique_bdf">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.c_m_axi_num_read">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.c_m_axi_num_readq">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.c_m_axi_num_write">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.c_pri_enable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.c_s_axi_num_read">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.c_s_axi_num_write">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.c_s_axi_supports_narrow_burst">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.c_smmu_en">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.cfg_ext_if">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.cfg_mgmt_if">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.cfg_space_enable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.comp_timeout">50ms</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.copy_pf0">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.copy_sriov_pf0">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.coreclk_freq">500</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ctrl_skip_mask">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.dedicate_perst">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.descriptor_bypass_exdes">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.device_port_type">PCI_Express_Endpoint_device</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.disable_bram_pipeline">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.disable_eq_synchronizer">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.disable_gt_loc">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.disable_user_clock_root">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.dma_2rp">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.dma_reset_source_sel">User_Reset</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.drp_clk_sel">Internal</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.dsc_bypass_rd">0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.dsc_bypass_rd_out">0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.dsc_bypass_wr">0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.dsc_bypass_wr_out">0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ecc_en">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.en_axi_master_if">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.en_axi_mm_mqdma">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.en_axi_slave_if">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.en_axi_st_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.en_bridge">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.en_bridge_slv">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.en_coreclk_es1">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.en_dbg_descramble">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.en_debug_ports">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.en_dma_and_bridge">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.en_ext_ch_gt_drp">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.en_gt_selection">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.en_l23_entry">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.en_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.en_pcie_drp">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.en_slot_cap_reg">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.en_transceiver_status_ports">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.enable_ats_switch">FALSE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.enable_auto_rxeq">False</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.enable_ccix">FALSE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.enable_clock_delay_grp">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.enable_code">0000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.enable_dvsec">FALSE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.enable_epyc_chipset_fix">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.enable_error_injection">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.enable_gen4">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.enable_ibert">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.enable_jtag_dbg">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.enable_lane_reversal">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.enable_ltssm_dbg">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.enable_mark_debug">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.enable_more_clk">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.enable_multi_pcie">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.enable_pcie_debug">False</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.enable_pcie_debug_axi4_st">False</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.enable_resource_reduction">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.enable_slave_read_64os">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.errc_dec_en">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.example_design_type">RTL</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ext_startup_primitive">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ext_sys_clk_bufg">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ext_xvc_vsec_enable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.flr_enable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.free_run_freq">100_MHz</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.functional_mode">DMA</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.gen4_eieos_0s7">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.gen_pipe_debug">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.gt_loc_num">X99Y99</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.gtcom_in_core_usp">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.gtwiz_in_core_us">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.gtwiz_in_core_usp">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.include_baroffset_reg">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ins_loss_profile">Add-in_Card</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.insert_cips">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.intx_rx_pin_en">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.lane_order">Bottom</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.legacy_cfg_ext_if">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.local_test">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.m_axib_num_write_scale">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.master_cal_only">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.mcap_enablement">None</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.mcap_fpga_bitstream_version">00000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.mode_selection">Basic</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.mpsoc_pl_rp_enable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.msi_rx_pin_en">FALSE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.msix_pcie_internal">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.msix_rx_decode_en">FALSE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.msix_rx_pin_en">TRUE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.msix_type">HARD</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.mult_pf_des">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.num_queues">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.old_bridge_timeout">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.parity_settings">None</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pcie_blk_locn">X0Y0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pcie_extended_tag">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pcie_id_if">FALSE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pciebar2axibar_0">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pciebar2axibar_1">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pciebar2axibar_2">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pciebar2axibar_3">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pciebar2axibar_4">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pciebar2axibar_5">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pciebar2axibar_6">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pciebar2axibar_axil_master">0x40000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pciebar2axibar_axist_bypass">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pciebar2axibar_xdma">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.performance">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.performance_exdes">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_Use_Class_Code_Lookup_Assistant">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_Use_Class_Code_Lookup_Assistant_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_aer_cap_ecrc_gen_and_check_capable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_ari_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_ats_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar0_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar0_64bit_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar0_enabled">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar0_enabled_mqdma">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar0_index">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar0_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar0_prefetchable_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar0_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar0_scale_mqdma">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar0_size">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar0_size_mqdma">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar0_type">Memory</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar0_type_mqdma">DMA</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar1_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar1_64bit_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar1_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar1_enabled_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar1_index">7</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar1_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar1_prefetchable_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar1_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar1_scale_mqdma">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar1_size">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar1_size_mqdma">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar1_type">Memory</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar1_type_mqdma">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar2_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar2_64bit_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar2_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar2_enabled_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar2_index">7</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar2_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar2_prefetchable_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar2_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar2_scale_mqdma">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar2_size">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar2_size_mqdma">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar2_type">Memory</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar2_type_mqdma">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar3_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar3_64bit_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar3_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar3_enabled_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar3_index">7</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar3_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar3_prefetchable_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar3_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar3_scale_mqdma">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar3_size">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar3_size_mqdma">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar3_type">Memory</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar3_type_mqdma">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar4_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar4_64bit_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar4_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar4_enabled_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar4_index">7</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar4_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar4_prefetchable_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar4_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar4_scale_mqdma">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar4_size">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar4_size_mqdma">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar4_type">Memory</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar4_type_mqdma">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar5_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar5_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar5_enabled_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar5_index">7</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar5_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar5_prefetchable_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar5_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar5_scale_mqdma">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar5_size">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar5_size_mqdma">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar5_type">Memory</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_bar5_type_mqdma">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_base_class_menu">Simple_communication_controllers</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_base_class_menu_mqdma">Memory_controller</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_class_code">070001</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_class_code_base">07</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_class_code_base_mqdma">05</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_class_code_interface">01</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_class_code_interface_mqdma">00</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_class_code_mqdma">058000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_class_code_sub">00</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_class_code_sub_mqdma">80</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_device_id">7014</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_expansion_rom_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_expansion_rom_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_expansion_rom_size">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_expansion_rom_type">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_interrupt_pin">INTA</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_link_status_slot_clock_config">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_msi_cap_multimsgcap">1_vector</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_msi_enabled">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_msix_cap_pba_bir">BAR_1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_msix_cap_pba_offset">00000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_msix_cap_table_bir">BAR_1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_msix_cap_table_offset">00000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_msix_cap_table_size">000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_msix_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_msix_enabled_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_msix_impl_locn">Internal</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_pri_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_rbar_cap_bar0">0x00000000fff0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_rbar_cap_bar1">0x000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_rbar_cap_bar2">0x000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_rbar_cap_bar3">0x000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_rbar_cap_bar4">0x000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_rbar_cap_bar5">0x000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_rbar_num">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_revision_id">00</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_bar0_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_bar0_enabled">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_bar0_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_bar0_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_bar0_size">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_bar0_type">DMA</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_bar1_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_bar1_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_bar1_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_bar1_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_bar1_size">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_bar1_type">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_bar2_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_bar2_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_bar2_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_bar2_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_bar2_size">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_bar2_type">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_bar3_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_bar3_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_bar3_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_bar3_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_bar3_size">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_bar3_type">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_bar4_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_bar4_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_bar4_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_bar4_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_bar4_size">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_bar4_type">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_bar5_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_bar5_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_bar5_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_bar5_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_bar5_size">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_bar5_type">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sriov_cap_ver">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sub_class_interface_menu">16450_compatible_serial_controller</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_sub_class_interface_menu_mqdma">Other_memory_controller</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_subsystem_id">0007</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_subsystem_vendor_id">10EE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf0_vendor_id_mqdma">10EE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_Use_Class_Code_Lookup_Assistant_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar0_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar0_64bit_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar0_enabled">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar0_enabled_mqdma">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar0_index">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar0_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar0_prefetchable_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar0_scale">Megabytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar0_scale_mqdma">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar0_size">32</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar0_size_mqdma">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar0_type">Memory</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar0_type_mqdma">DMA</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar1_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar1_64bit_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar1_enabled">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar1_enabled_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar1_index">7</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar1_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar1_prefetchable_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar1_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar1_scale_mqdma">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar1_size">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar1_size_mqdma">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar1_type">Memory</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar1_type_mqdma">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar2_64bit">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar2_64bit_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar2_enabled">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar2_enabled_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar2_index">7</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar2_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar2_prefetchable_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar2_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar2_scale_mqdma">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar2_size">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar2_size_mqdma">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar2_type">Memory</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar2_type_mqdma">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar3_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar3_64bit_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar3_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar3_enabled_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar3_index">7</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar3_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar3_prefetchable_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar3_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar3_scale_mqdma">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar3_size">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar3_size_mqdma">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar3_type">Memory</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar3_type_mqdma">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar4_64bit">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar4_64bit_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar4_enabled">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar4_enabled_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar4_index">7</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar4_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar4_prefetchable_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar4_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar4_scale_mqdma">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar4_size">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar4_size_mqdma">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar4_type">Memory</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar4_type_mqdma">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar5_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar5_enabled_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar5_index">7</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar5_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar5_prefetchable_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar5_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar5_scale_mqdma">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar5_size">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar5_size_mqdma">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar5_type">Memory</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_bar5_type_mqdma">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_base_class_menu">Simple_communication_controllers</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_base_class_menu_mqdma">Memory_controller</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_class_code">070001</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_class_code_base">07</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_class_code_base_mqdma">05</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_class_code_interface">01</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_class_code_interface_mqdma">00</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_class_code_mqdma">058000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_class_code_sub">00</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_class_code_sub_mqdma">80</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_device_id">1041</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_expansion_rom_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_expansion_rom_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_expansion_rom_size">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_expansion_rom_type">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_msi_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_msix_cap_pba_bir">BAR_0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_msix_cap_pba_offset">00000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_msix_cap_table_bir">BAR_0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_msix_cap_table_offset">00000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_msix_cap_table_size">000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_msix_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_msix_enabled_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_pciebar2axibar_0">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_pciebar2axibar_1">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_pciebar2axibar_2">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_pciebar2axibar_3">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_pciebar2axibar_4">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_pciebar2axibar_5">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_pciebar2axibar_6">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_rbar_cap_bar0">0x00000000fff0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_rbar_cap_bar1">0x000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_rbar_cap_bar2">0x000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_rbar_cap_bar3">0x000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_rbar_cap_bar4">0x000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_rbar_cap_bar5">0x000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_rbar_num">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sriov_bar0_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sriov_bar0_enabled">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sriov_bar0_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sriov_bar0_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sriov_bar0_size">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sriov_bar0_type">DMA</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sriov_bar1_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sriov_bar1_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sriov_bar1_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sriov_bar1_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sriov_bar1_size">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sriov_bar1_type">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sriov_bar2_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sriov_bar2_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sriov_bar2_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sriov_bar2_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sriov_bar2_size">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sriov_bar2_type">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sriov_bar3_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sriov_bar3_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sriov_bar3_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sriov_bar3_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sriov_bar3_size">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sriov_bar3_type">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sriov_bar4_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sriov_bar4_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sriov_bar4_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sriov_bar4_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sriov_bar4_size">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sriov_bar4_type">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sriov_bar5_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sriov_bar5_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sriov_bar5_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sriov_bar5_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sriov_bar5_size">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sriov_bar5_type">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sub_class_interface_menu">16450_compatible_serial_controller</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_sub_class_interface_menu_mqdma">Other_memory_controller</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_vendor_id">10EE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf1_vendor_id_mqdma">10EE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_Use_Class_Code_Lookup_Assistant_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar0_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar0_64bit_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar0_enabled">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar0_enabled_mqdma">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar0_index">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar0_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar0_prefetchable_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar0_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar0_scale_mqdma">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar0_size">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar0_size_mqdma">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar0_type">Memory</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar0_type_mqdma">DMA</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar1_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar1_64bit_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar1_enabled">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar1_enabled_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar1_index">7</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar1_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar1_prefetchable_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar1_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar1_scale_mqdma">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar1_size">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar1_size_mqdma">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar1_type">Memory</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar1_type_mqdma">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar2_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar2_64bit_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar2_enabled">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar2_enabled_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar2_index">7</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar2_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar2_prefetchable_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar2_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar2_scale_mqdma">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar2_size">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar2_size_mqdma">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar2_type">Memory</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar2_type_mqdma">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar3_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar3_64bit_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar3_enabled">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar3_enabled_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar3_index">7</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar3_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar3_prefetchable_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar3_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar3_scale_mqdma">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar3_size">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar3_size_mqdma">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar3_type">Memory</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar3_type_mqdma">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar4_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar4_64bit_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar4_enabled">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar4_enabled_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar4_index">7</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar4_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar4_prefetchable_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar4_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar4_scale_mqdma">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar4_size">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar4_size_mqdma">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar4_type">Memory</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar4_type_mqdma">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar5_enabled">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar5_enabled_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar5_index">7</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar5_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar5_prefetchable_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar5_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar5_scale_mqdma">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar5_size">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar5_size_mqdma">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar5_type">Memory</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_bar5_type_mqdma">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_base_class_menu">Memory_controller</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_base_class_menu_mqdma">Memory_controller</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_class_code">058000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_class_code_base">05</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_class_code_base_mqdma">05</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_class_code_interface">00</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_class_code_interface_mqdma">00</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_class_code_mqdma">058000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_class_code_sub">80</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_class_code_sub_mqdma">80</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_device_id">1040</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_expansion_rom_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_expansion_rom_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_expansion_rom_size">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_expansion_rom_type">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_msi_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_msix_enabled_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_pciebar2axibar_0">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_pciebar2axibar_1">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_pciebar2axibar_2">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_pciebar2axibar_3">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_pciebar2axibar_4">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_pciebar2axibar_5">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_rbar_cap_bar0">0x00000000fff0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_rbar_cap_bar1">0x000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_rbar_cap_bar2">0x000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_rbar_cap_bar3">0x000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_rbar_cap_bar4">0x000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_rbar_cap_bar5">0x000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_rbar_num">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sriov_bar0_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sriov_bar0_enabled">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sriov_bar0_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sriov_bar0_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sriov_bar0_size">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sriov_bar0_type">DMA</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sriov_bar1_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sriov_bar1_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sriov_bar1_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sriov_bar1_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sriov_bar1_size">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sriov_bar1_type">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sriov_bar2_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sriov_bar2_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sriov_bar2_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sriov_bar2_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sriov_bar2_size">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sriov_bar2_type">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sriov_bar3_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sriov_bar3_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sriov_bar3_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sriov_bar3_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sriov_bar3_size">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sriov_bar3_type">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sriov_bar4_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sriov_bar4_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sriov_bar4_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sriov_bar4_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sriov_bar4_size">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sriov_bar4_type">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sriov_bar5_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sriov_bar5_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sriov_bar5_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sriov_bar5_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sriov_bar5_size">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sriov_bar5_type">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sub_class_interface_menu">Other_memory_controller</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_sub_class_interface_menu_mqdma">Other_memory_controller</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf2_vendor_id_mqdma">10EE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_Use_Class_Code_Lookup_Assistant_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar0_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar0_64bit_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar0_enabled">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar0_enabled_mqdma">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar0_index">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar0_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar0_prefetchable_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar0_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar0_scale_mqdma">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar0_size">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar0_size_mqdma">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar0_type">Memory</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar0_type_mqdma">DMA</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar1_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar1_64bit_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar1_enabled">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar1_enabled_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar1_index">7</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar1_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar1_prefetchable_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar1_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar1_scale_mqdma">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar1_size">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar1_size_mqdma">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar1_type">Memory</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar1_type_mqdma">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar2_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar2_64bit_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar2_enabled">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar2_enabled_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar2_index">7</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar2_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar2_prefetchable_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar2_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar2_scale_mqdma">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar2_size">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar2_size_mqdma">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar2_type">Memory</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar2_type_mqdma">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar3_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar3_64bit_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar3_enabled">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar3_enabled_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar3_index">7</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar3_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar3_prefetchable_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar3_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar3_scale_mqdma">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar3_size">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar3_size_mqdma">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar3_type">Memory</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar3_type_mqdma">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar4_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar4_64bit_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar4_enabled">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar4_enabled_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar4_index">7</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar4_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar4_prefetchable_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar4_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar4_scale_mqdma">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar4_size">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar4_size_mqdma">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar4_type">Memory</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar4_type_mqdma">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar5_enabled">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar5_enabled_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar5_index">7</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar5_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar5_prefetchable_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar5_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar5_scale_mqdma">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar5_size">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar5_size_mqdma">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar5_type">Memory</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_bar5_type_mqdma">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_base_class_menu">Memory_controller</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_base_class_menu_mqdma">Memory_controller</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_class_code">058000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_class_code_base">05</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_class_code_base_mqdma">05</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_class_code_interface">00</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_class_code_interface_mqdma">00</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_class_code_mqdma">058000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_class_code_sub">80</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_class_code_sub_mqdma">80</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_device_id">1039</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_expansion_rom_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_expansion_rom_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_expansion_rom_size">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_expansion_rom_type">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_msi_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_msix_enabled_mqdma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_pciebar2axibar_0">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_pciebar2axibar_1">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_pciebar2axibar_2">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_pciebar2axibar_3">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_pciebar2axibar_4">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_pciebar2axibar_5">0x0000000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_rbar_cap_bar0">0x00000000fff0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_rbar_cap_bar1">0x000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_rbar_cap_bar2">0x000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_rbar_cap_bar3">0x000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_rbar_cap_bar4">0x000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_rbar_cap_bar5">0x000000000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_rbar_num">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sriov_bar0_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sriov_bar0_enabled">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sriov_bar0_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sriov_bar0_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sriov_bar0_size">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sriov_bar0_type">DMA</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sriov_bar1_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sriov_bar1_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sriov_bar1_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sriov_bar1_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sriov_bar1_size">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sriov_bar1_type">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sriov_bar2_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sriov_bar2_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sriov_bar2_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sriov_bar2_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sriov_bar2_size">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sriov_bar2_type">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sriov_bar3_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sriov_bar3_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sriov_bar3_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sriov_bar3_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sriov_bar3_size">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sriov_bar3_type">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sriov_bar4_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sriov_bar4_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sriov_bar4_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sriov_bar4_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sriov_bar4_size">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sriov_bar4_type">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sriov_bar5_64bit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sriov_bar5_enabled">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sriov_bar5_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sriov_bar5_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sriov_bar5_size">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sriov_bar5_type">N/A</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sub_class_interface_menu">Other_memory_controller</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_sub_class_interface_menu_mqdma">Other_memory_controller</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf3_vendor_id_mqdma">10EE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pf_swap">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pipe_line_stage">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pipe_sim">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pl_link_cap_max_link_speed">2.5_GT/s</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.pl_link_cap_max_link_width">X4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.plltype">CPLL</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.post_synth_sim_en">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.prog_usr_irq_vec_map">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.rbar_enable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.rcfg_nph_fix_en">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ref_clk_freq">100_MHz</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.replace_uram_with_bram">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.runbit_fix">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.rx_detect">Default</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.s_axi_id_width">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.select_quad">GTH_Quad_128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.set_finite_credit">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.shell_bridge">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.silicon_rev">Pre-Production</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.sim_model">NO</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.slot_cap_reg">00000040</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.soft_reset_en">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.split_dma">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.split_dma_single_pf">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.sys_reset_polarity">ACTIVE_LOW</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.tandem_enable_rfsoc">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.timeout0_sel">14</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.timeout1_sel">15</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.timeout_mult">3</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.tl_credits_cd">15</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.tl_credits_ch">15</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.tl_pf_enable_reg">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.tl_tx_mux_strict_priority">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.two_bypass_bar">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.type1_membase_memlimit_enable">Disabled</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.type1_prefetchable_membase_memlimit">Disabled</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.use_standard_interfaces">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.user_pf_two_axilite_bar_en">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.usplus_es1_seqnum_bypass">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.usr_irq_exdes">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.usrint_expn">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.vcu118_board">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.vcu1525_ddr_ex">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.vdm_en">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.vendor_id">10EE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.versal">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.virtio_exdes">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.virtio_perf_exdes">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.vu9p_board">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.vu9p_tul_ex">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.warm_reboot_sbr_fix">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.xdma_axi_intf_mm">AXI_Memory_Mapped</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.xdma_axilite_slave">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.xdma_dsc_bypass">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.xdma_en">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.xdma_non_incremental_exdes">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.xdma_num_usr_irq">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.xdma_pcie_64bit_en">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.xdma_pcie_prefetchable">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.xdma_rnum_chnl">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.xdma_rnum_rids">32</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.xdma_scale">Kilobytes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.xdma_size">64</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.xdma_st_infinite_desc_exdes">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.xdma_sts_ports">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.xdma_wnum_chnl">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.xdma_wnum_rids">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.xlnx_ref_board">None</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">artix7</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7a100tl</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">fgg484</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VERILOG</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-2L</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.STATIC_POWER"/>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE">E</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHEELABORATESCRC">37531336</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHEID">c854e023025097d8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESPECIALDATA">top_xdma_0_0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHCL">$Change: 3513466 $</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHCRC">c2b7788d</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHRUNTIME">283</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Unknown</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">17</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2022.1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">GLOBAL</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
</spirit:componentInstances>
</spirit:design>

File diff suppressed because it is too large Load diff

File diff suppressed because it is too large Load diff

View file

@ -0,0 +1,97 @@
// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
// --------------------------------------------------------------------------------
// Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
// Date : Sat Jun 28 00:08:56 2025
// Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
// Command : write_verilog -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
// decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_xdma_0_0_stub.v
// Design : top_xdma_0_0
// Purpose : Stub declaration of top-level module interface
// Device : xc7a100tlfgg484-2L
// --------------------------------------------------------------------------------
// This empty module with port declaration file causes synthesis tools to infer a black box for IP.
// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion.
// Please paste the declaration into a Verilog source file or add the file as an additional source.
(* X_CORE_INFO = "top_xdma_0_0_core_top,Vivado 2022.1" *)
module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix(sys_clk, sys_rst_n, user_lnk_up, pci_exp_txp,
pci_exp_txn, pci_exp_rxp, pci_exp_rxn, axi_aclk, axi_aresetn, usr_irq_req, usr_irq_ack,
msi_enable, msi_vector_width, m_axi_awready, m_axi_wready, m_axi_bid, m_axi_bresp,
m_axi_bvalid, m_axi_arready, m_axi_rid, m_axi_rdata, m_axi_rresp, m_axi_rlast, m_axi_rvalid,
m_axi_awid, m_axi_awaddr, m_axi_awlen, m_axi_awsize, m_axi_awburst, m_axi_awprot,
m_axi_awvalid, m_axi_awlock, m_axi_awcache, m_axi_wdata, m_axi_wstrb, m_axi_wlast,
m_axi_wvalid, m_axi_bready, m_axi_arid, m_axi_araddr, m_axi_arlen, m_axi_arsize,
m_axi_arburst, m_axi_arprot, m_axi_arvalid, m_axi_arlock, m_axi_arcache, m_axi_rready,
m_axil_awaddr, m_axil_awprot, m_axil_awvalid, m_axil_awready, m_axil_wdata, m_axil_wstrb,
m_axil_wvalid, m_axil_wready, m_axil_bvalid, m_axil_bresp, m_axil_bready, m_axil_araddr,
m_axil_arprot, m_axil_arvalid, m_axil_arready, m_axil_rdata, m_axil_rresp, m_axil_rvalid,
m_axil_rready)
/* synthesis syn_black_box black_box_pad_pin="sys_clk,sys_rst_n,user_lnk_up,pci_exp_txp[3:0],pci_exp_txn[3:0],pci_exp_rxp[3:0],pci_exp_rxn[3:0],axi_aclk,axi_aresetn,usr_irq_req[0:0],usr_irq_ack[0:0],msi_enable,msi_vector_width[2:0],m_axi_awready,m_axi_wready,m_axi_bid[3:0],m_axi_bresp[1:0],m_axi_bvalid,m_axi_arready,m_axi_rid[3:0],m_axi_rdata[63:0],m_axi_rresp[1:0],m_axi_rlast,m_axi_rvalid,m_axi_awid[3:0],m_axi_awaddr[63:0],m_axi_awlen[7:0],m_axi_awsize[2:0],m_axi_awburst[1:0],m_axi_awprot[2:0],m_axi_awvalid,m_axi_awlock,m_axi_awcache[3:0],m_axi_wdata[63:0],m_axi_wstrb[7:0],m_axi_wlast,m_axi_wvalid,m_axi_bready,m_axi_arid[3:0],m_axi_araddr[63:0],m_axi_arlen[7:0],m_axi_arsize[2:0],m_axi_arburst[1:0],m_axi_arprot[2:0],m_axi_arvalid,m_axi_arlock,m_axi_arcache[3:0],m_axi_rready,m_axil_awaddr[31:0],m_axil_awprot[2:0],m_axil_awvalid,m_axil_awready,m_axil_wdata[31:0],m_axil_wstrb[3:0],m_axil_wvalid,m_axil_wready,m_axil_bvalid,m_axil_bresp[1:0],m_axil_bready,m_axil_araddr[31:0],m_axil_arprot[2:0],m_axil_arvalid,m_axil_arready,m_axil_rdata[31:0],m_axil_rresp[1:0],m_axil_rvalid,m_axil_rready" */;
input sys_clk;
input sys_rst_n;
output user_lnk_up;
output [3:0]pci_exp_txp;
output [3:0]pci_exp_txn;
input [3:0]pci_exp_rxp;
input [3:0]pci_exp_rxn;
output axi_aclk;
output axi_aresetn;
input [0:0]usr_irq_req;
output [0:0]usr_irq_ack;
output msi_enable;
output [2:0]msi_vector_width;
input m_axi_awready;
input m_axi_wready;
input [3:0]m_axi_bid;
input [1:0]m_axi_bresp;
input m_axi_bvalid;
input m_axi_arready;
input [3:0]m_axi_rid;
input [63:0]m_axi_rdata;
input [1:0]m_axi_rresp;
input m_axi_rlast;
input m_axi_rvalid;
output [3:0]m_axi_awid;
output [63:0]m_axi_awaddr;
output [7:0]m_axi_awlen;
output [2:0]m_axi_awsize;
output [1:0]m_axi_awburst;
output [2:0]m_axi_awprot;
output m_axi_awvalid;
output m_axi_awlock;
output [3:0]m_axi_awcache;
output [63:0]m_axi_wdata;
output [7:0]m_axi_wstrb;
output m_axi_wlast;
output m_axi_wvalid;
output m_axi_bready;
output [3:0]m_axi_arid;
output [63:0]m_axi_araddr;
output [7:0]m_axi_arlen;
output [2:0]m_axi_arsize;
output [1:0]m_axi_arburst;
output [2:0]m_axi_arprot;
output m_axi_arvalid;
output m_axi_arlock;
output [3:0]m_axi_arcache;
output m_axi_rready;
output [31:0]m_axil_awaddr;
output [2:0]m_axil_awprot;
output m_axil_awvalid;
input m_axil_awready;
output [31:0]m_axil_wdata;
output [3:0]m_axil_wstrb;
output m_axil_wvalid;
input m_axil_wready;
input m_axil_bvalid;
input [1:0]m_axil_bresp;
output m_axil_bready;
output [31:0]m_axil_araddr;
output [2:0]m_axil_arprot;
output m_axil_arvalid;
input m_axil_arready;
input [31:0]m_axil_rdata;
input [1:0]m_axil_rresp;
input m_axil_rvalid;
output m_axil_rready;
endmodule

View file

@ -0,0 +1,96 @@
-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
-- Date : Sat Jun 28 00:08:56 2025
-- Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
-- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ top_xdma_0_0_stub.vhdl
-- Design : top_xdma_0_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a100tlfgg484-2L
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
Port (
sys_clk : in STD_LOGIC;
sys_rst_n : in STD_LOGIC;
user_lnk_up : out STD_LOGIC;
pci_exp_txp : out STD_LOGIC_VECTOR ( 3 downto 0 );
pci_exp_txn : out STD_LOGIC_VECTOR ( 3 downto 0 );
pci_exp_rxp : in STD_LOGIC_VECTOR ( 3 downto 0 );
pci_exp_rxn : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_aclk : out STD_LOGIC;
axi_aresetn : out STD_LOGIC;
usr_irq_req : in STD_LOGIC_VECTOR ( 0 to 0 );
usr_irq_ack : out STD_LOGIC_VECTOR ( 0 to 0 );
msi_enable : out STD_LOGIC;
msi_vector_width : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awready : in STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bid : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rid : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_rdata : in STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rlast : in STD_LOGIC;
m_axi_rvalid : in STD_LOGIC;
m_axi_awid : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awlock : out STD_LOGIC;
m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_wdata : out STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_wlast : out STD_LOGIC;
m_axi_wvalid : out STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_arid : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arlock : out STD_LOGIC;
m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_rready : out STD_LOGIC;
m_axil_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axil_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axil_awvalid : out STD_LOGIC;
m_axil_awready : in STD_LOGIC;
m_axil_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axil_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axil_wvalid : out STD_LOGIC;
m_axil_wready : in STD_LOGIC;
m_axil_bvalid : in STD_LOGIC;
m_axil_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axil_bready : out STD_LOGIC;
m_axil_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axil_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axil_arvalid : out STD_LOGIC;
m_axil_arready : in STD_LOGIC;
m_axil_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axil_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axil_rvalid : in STD_LOGIC;
m_axil_rready : out STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "sys_clk,sys_rst_n,user_lnk_up,pci_exp_txp[3:0],pci_exp_txn[3:0],pci_exp_rxp[3:0],pci_exp_rxn[3:0],axi_aclk,axi_aresetn,usr_irq_req[0:0],usr_irq_ack[0:0],msi_enable,msi_vector_width[2:0],m_axi_awready,m_axi_wready,m_axi_bid[3:0],m_axi_bresp[1:0],m_axi_bvalid,m_axi_arready,m_axi_rid[3:0],m_axi_rdata[63:0],m_axi_rresp[1:0],m_axi_rlast,m_axi_rvalid,m_axi_awid[3:0],m_axi_awaddr[63:0],m_axi_awlen[7:0],m_axi_awsize[2:0],m_axi_awburst[1:0],m_axi_awprot[2:0],m_axi_awvalid,m_axi_awlock,m_axi_awcache[3:0],m_axi_wdata[63:0],m_axi_wstrb[7:0],m_axi_wlast,m_axi_wvalid,m_axi_bready,m_axi_arid[3:0],m_axi_araddr[63:0],m_axi_arlen[7:0],m_axi_arsize[2:0],m_axi_arburst[1:0],m_axi_arprot[2:0],m_axi_arvalid,m_axi_arlock,m_axi_arcache[3:0],m_axi_rready,m_axil_awaddr[31:0],m_axil_awprot[2:0],m_axil_awvalid,m_axil_awready,m_axil_wdata[31:0],m_axil_wstrb[3:0],m_axil_wvalid,m_axil_wready,m_axil_bvalid,m_axil_bresp[1:0],m_axil_bready,m_axil_araddr[31:0],m_axil_arprot[2:0],m_axil_arvalid,m_axil_arready,m_axil_rdata[31:0],m_axil_rresp[1:0],m_axil_rvalid,m_axil_rready";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of stub : architecture is "top_xdma_0_0_core_top,Vivado 2022.1";
begin
end;

File diff suppressed because it is too large Load diff

File diff suppressed because it is too large Load diff

View file

@ -0,0 +1,22 @@
// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
// --------------------------------------------------------------------------------
// Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
// Date : Tue Jun 24 22:33:07 2025
// Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
// Command : write_verilog -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
// decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ dbg_hub_stub.v
// Design : dbg_hub
// Purpose : Stub declaration of top-level module interface
// Device : xc7a100tlfgg484-2L
// --------------------------------------------------------------------------------
// This empty module with port declaration file causes synthesis tools to infer a black box for IP.
// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion.
// Please paste the declaration into a Verilog source file or add the file as an additional source.
(* X_CORE_INFO = "xsdbm_v3_0_0_xsdbm,Vivado 2022.1" *)
module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix(sl_iport0_o, sl_oport0_i, clk)
/* synthesis syn_black_box black_box_pad_pin="sl_iport0_o[36:0],sl_oport0_i[16:0],clk" */;
output [36:0]sl_iport0_o;
input [16:0]sl_oport0_i;
input clk;
endmodule

View file

@ -0,0 +1,32 @@
-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
-- Date : Tue Jun 24 22:33:07 2025
-- Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
-- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ dbg_hub_stub.vhdl
-- Design : dbg_hub
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a100tlfgg484-2L
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
Port (
sl_iport0_o : out STD_LOGIC_VECTOR ( 36 downto 0 );
sl_oport0_i : in STD_LOGIC_VECTOR ( 16 downto 0 );
clk : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "sl_iport0_o[36:0],sl_oport0_i[16:0],clk";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of stub : architecture is "xsdbm_v3_0_0_xsdbm,Vivado 2022.1";
begin
end;

View file

@ -0,0 +1,68 @@
<?xml version="1.0" encoding="UTF-8"?>
<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
<spirit:vendor>xilinx.com</spirit:vendor>
<spirit:library>ipcache</spirit:library>
<spirit:name>fffd2becd4b8b631</spirit:name>
<spirit:version>0</spirit:version>
<spirit:componentInstances>
<spirit:componentInstance>
<spirit:instanceName>dbg_hub</spirit:instanceName>
<spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="xsdbm" spirit:version="3.0"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.SIGNAL_CLOCK.FREQ_HZ">100000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_BSCANID">76546592</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_BSCAN_MODE">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_BSCAN_MODE_WITH_CORE">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_BUILD_REVISION">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_CLKFBOUT_MULT_F">10.000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_CLKOUT0_DIVIDE_F">10.000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_CLK_INPUT_FREQ_HZ">300000000</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_CORE_MAJOR_VER">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_CORE_MINOR_ALPHA_VER">97</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_CORE_MINOR_VER">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_CORE_TYPE">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_DCLK_HAS_RESET">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_DIVCLK_DIVIDE">3</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_ENABLE_CLK_DIVIDER">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_EN_BSCANID_VEC">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_EN_INT_SIM">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_FIFO_STYLE">SUBCORE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_MAJOR_VERSION">14</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_MINOR_VERSION">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_NUM_BSCAN_MASTER_PORTS">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_TWO_PRIM_MODE">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_USER_SCAN_CHAIN">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_USER_SCAN_CHAIN1">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_USE_BUFR">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_USE_EXT_BSCAN">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_USE_STARTUP_CLK">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_XSDB_NUM_SLAVES">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_XSDB_PERIOD_FRC">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_XSDB_PERIOD_INT">10</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">dbg_hub</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">artix7</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7a100tl</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">fgg484</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VERILOG</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-2L</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.STATIC_POWER"/>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE">E</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHEID">fffd2becd4b8b631</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHCL">$Change: 3513466 $</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHCRC">c7aff28e</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHRUNTIME">58</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Unknown</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2022.1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">GLOBAL</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
</spirit:componentInstances>
</spirit:design>

View file

@ -0,0 +1,2 @@
NumberHits:1
Timestamp: Wed Jun 25 01:24:47 UTC 2025

View file

@ -1,4 +1,4 @@
version:1
57656254616c6b5472616e736d697373696f6e417474656d70746564:2
6d6f64655f636f756e7465727c4755494d6f6465:4
57656254616c6b5472616e736d697373696f6e417474656d70746564:12
6d6f64655f636f756e7465727c4755494d6f6465:14
eof:

View file

@ -3,10 +3,10 @@
<!--The data in this file is primarily intended for consumption by Xilinx tools.
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
<application name="pa" timeStamp="Tue Jun 24 13:34:21 2025">
<application name="pa" timeStamp="Sat Jun 28 02:42:33 2025">
<section name="Project Information" visible="false">
<property name="ProjectID" value="e49d70a015124bebbb9a419404306049" type="ProjectID"/>
<property name="ProjectIteration" value="10" type="ProjectIteration"/>
<property name="ProjectIteration" value="32" type="ProjectIteration"/>
</section>
<section name="PlanAhead Usage" visible="true">
<item name="Project Data">

View file

@ -1,7 +1,7 @@
//Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
//--------------------------------------------------------------------------------
//Tool Version: Vivado v.2022.1 (lin64) Build 3526262 Mon Apr 18 15:47:01 MDT 2022
//Date : Tue Jun 24 12:25:34 2025
//Date : Sat Jun 28 02:34:37 2025
//Host : media-wawa running 64-bit NixOS 25.05 (Warbler)
//Command : generate_target top_wrapper.bd
//Design : top_wrapper
@ -13,7 +13,7 @@ module top_wrapper
(LED_M2,
diff_clock_rtl_0_clk_n,
diff_clock_rtl_0_clk_p,
leds_tri_o,
leds,
pcie_express_x4_rxn,
pcie_express_x4_rxp,
pcie_express_x4_txn,
@ -22,7 +22,7 @@ module top_wrapper
output [0:0]LED_M2;
input [0:0]diff_clock_rtl_0_clk_n;
input [0:0]diff_clock_rtl_0_clk_p;
output [1:0]leds_tri_o;
output [1:0]leds;
input [3:0]pcie_express_x4_rxn;
input [3:0]pcie_express_x4_rxp;
output [3:0]pcie_express_x4_txn;
@ -32,7 +32,7 @@ module top_wrapper
wire [0:0]LED_M2;
wire [0:0]diff_clock_rtl_0_clk_n;
wire [0:0]diff_clock_rtl_0_clk_p;
wire [1:0]leds_tri_o;
wire [1:0]leds;
wire [3:0]pcie_express_x4_rxn;
wire [3:0]pcie_express_x4_rxp;
wire [3:0]pcie_express_x4_txn;
@ -43,7 +43,7 @@ module top_wrapper
(.LED_M2(LED_M2),
.diff_clock_rtl_0_clk_n(diff_clock_rtl_0_clk_n),
.diff_clock_rtl_0_clk_p(diff_clock_rtl_0_clk_p),
.leds_tri_o(leds_tri_o),
.leds(leds),
.pcie_express_x4_rxn(pcie_express_x4_rxn),
.pcie_express_x4_rxp(pcie_express_x4_rxp),
.pcie_express_x4_txn(pcie_express_x4_txn),

Some files were not shown because too many files have changed in this diff Show more